Skip to main content
Log in

Scan Division Algorithm for Shift and Capture Power Reduction for At-Speed Test Using Skewed-Load Test Application Strategy

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper discusses an automated method to divide scan chains into multiple scan segments that are suitable for power-constrained at-speed testing using the skewed-load test application strategy. By dividing a circuit into multiple partitions, which can be tested independently, both power during shift and power during capture can be controlled. Despite activating one partition at a time, we show how through conscious construction of scan segments, high transition fault coverage can be achieved, while reducing test time of the circuit and employing third party test generation tools.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  1. Asaka T, Bhattacharya S, Dey S, Yoshida M (1997) H-SCAN+: a practical low-overhead rtl design-for-testability technique for industrial designs. In: Proceedings of the IEEE international test conference, pp 265–274

  2. Brglez F, Bryan D, Kozminski K (1989) Combinational profiles of sequential benchmark circuits. In: Proceedings of the IEEE international symposium on circuits and systems, pp 1929–1934

  3. Bushnell ML, Agrawal VD (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits. Kluwer, Boston

    Google Scholar 

  4. Butler K, Saxena J, Jain A, Fryars T, Lewis J, Hetherington G (2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques. In: Proceedings of the IEEE international test conference, pp 355–364

  5. Daasch W, McNames J, Madge R, Cota K (2002) Neighborhood selection for IDDQ outlier screening at wafer sort. IEEE Des Test Comput 19(5):74–81, Sept–Oct 2002

    Article  Google Scholar 

  6. Donno M, Macii E, Mazzoni L (2004) Power-aware clock tree planning. In: Proceedings of the IEEE/ACM international symposium on physical design, pp 138–147

  7. Faraday Technology Corporation (2002) Faraday structured ASIC benchmarks

  8. Girard P (2002) Survey of low-power testing of VLSI circuits. IEEE Des Test Comput 19(3):80–90, May–June 2002

    Article  MathSciNet  Google Scholar 

  9. Girard P, Guiller L, Landrault C, Pravossoudovitch S (1999) Circuit partitioning for low power BIST design with minimized peak power consumption. In: Proceedings of the 8th IEEE Asian test symposium, pp 89–94

  10. Girard P, Guiller L, Landrault C, Pravossoudovitch S (2000) Low power BIST design by hypergraph partitioning: methodology and architectures. In: Proceedings of the IEEE international test conference, pp 652–661

  11. Huang Y, Tsai C-C, Mukherjee N, Samman O, Devries D, Cheng W-T, Reddy SM (2001) On RTL scan design. In: Proceedings of the IEEE international test conference, pp 728–737

  12. Kapur R, Chandramouli R, Williams T (2001) Strategies for low-cost test. IEEE Des Test Comput 18(6):47–54 Nov-Dec 2001

    Article  Google Scholar 

  13. Kernighan BW, Lin S (1970) An efficient heuristic procedure for partitioning graphs. Bell Syst Tech J 49:291–308

    Google Scholar 

  14. Ko HF, Nicolici N (2004) Functional scan chain design at RTL for skewed-load delay fault testing. In: Proceedings of the 13th IEEE Asian test symposium, pp 454–459

  15. Ko HF, Nicolici N (2006) RTL scan design for skewed-load at-speed test under power constraints. In: Proceedings of the IEEE international conference on computer design 2006 Paper 5.3.2

  16. Ko HF, Xu Q, Nicolici N (2005) Register-transfer level functional scan for hierarchical designs. In: Proceedings of the IEEE/ACM Asian-South pacific design automation conference, pp 1172–1175

  17. Launchbird Design Systems, Inc. (2004) The confluence project

  18. Lee K-J, Hsu S-J, Ho C-M (2004) Test power reduction with multiple capture orders. In: Proceedings of the 13th IEEE Asian test symposium, pp 26–31

  19. Lin X, Press R, Rajski J, Reuter P, Rinderknecht T, Swanson B, Tamarapalli N (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput 20(5):17–25, Sept–Oct 2003

    Article  Google Scholar 

  20. Maxwell P, Aitken R, Johansen V, Chiang I (1991) The effect of different test sets on quality level prediction: when is 80% better than 90%? In: Proceedings of the IEEE international test conference, 358 p

  21. Nicolici N, Al-Hashimi BM (2000) Scan latch partitioning into multiple scan chains for power minimization in full scan sequential circuits. In: Proceedings of the IEEE design, automation and test in Europe, pp 715–722

  22. Nicolici N, Al-Hashimi BM (2003) Power-constrained testing of VLSI circuits. Kluwer

  23. Remersaro S, Lin X, Zhang Z, Reddy SM, Pomeranz I, Rajski J (2006) Preferred fill: a scalable method to reduce capture power for scan based designs. In: Proceedings of the IEEE international test conference, Paper 32.2

  24. Rosinger P, Al-Hashimi BM, Nicolici N (2004) Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. IEEE Trans Comput-Aided Des Integr Circuits Syst 23(7):1142–1153

    Article  Google Scholar 

  25. Sankaralingam R, Oruganti RR, Touba NA (2000) Static compaction techniques to control scan vector power dissipation. In: Proceedings of the 18th IEEE VLSI test symposium, pp 35–40

  26. Savir J, Patil S (1993) Scan-based transition test. IEEE Trans Comput-Aided Des Integr Circuits Syst 12(8):1232–1241

    Article  Google Scholar 

  27. Synopsys Test Tools (2003) TetraMAX ATPG. http://www.synopsys.com/products/test/tetramax_dsA4.pdf.

  28. Wang J, Walker DMH, Majhi A, Kruseman B, Gronthoud G, Villagra LE, van de Wiel P, Eichenberger S (2006) Power supply noise in delay testing. In: Proceedings of the IEEE international test conference, Paper 17.3

  29. Wang S, Gupta S (1997) ATPG for heat dissipation minimization during scan testing. In: Proceedings of the IEEE/ACM design automation conference, pp 614–619

  30. Wen X, Kajihara S, Miyase K, Suzuki T, Saluja K, Wang, L-T, Abdel-Hafez K, Kinoshita K (2006) A new ATPG method for efficient capture power reduction during scan testing. In: Proceedings of the 24th IEEE VLSI test symposium

  31. Wen X, Miyase K, Suzuki T, Yamato Y, Kajihara S, Wang L-T, Saluja K (2006) A highly-guided X-filling method for effective low-capture-power scan test generation. In: Proceedings of the IEEE international conference on computer design, Paper 5.3.4

  32. Wen X, Yamashita Y, Kajihara S, Wang, L-T, Saluja K, Kinoshita K (2005) On low-capture-power test generation for scan testing. In: Proceedings of the 23rd IEEE VLSI test symposium, pp 265–270

  33. Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja KK, Kinoshita K (2005) Low-capture-power test generation for scan-based at-speed testing. In: Proceedings of the IEEE international test conference, Paper 39.2

  34. Whetsel L (2000) Adapting scan architectures for low power operation. In: Proceedings of the IEEE international test conference, pp 863–872

  35. Xu G, Singh A (2006) Low cost launch-on-shift delay test with slow scan enable. In: Proceedings of the IEEE European test symposium, May 2006, pp 9–14

  36. Xu Q, Nicolici N (2005) Resource-constrained system-on-a-chip test: a survey. IEE J Comp Digit Tech 152:67–81

    Article  Google Scholar 

  37. Zhang Z, Reddy S, Pomeranz I, Rajski J, Al-Hashimi B (2006) Enhancing delay fault coverage through low power segmented scan. In: Proceedings of the IEEE European test symposium, May 2006, pp 21–28

  38. Zoellin C, Wunderlich, H-J, Maeding N, Leenstra J (2006) BIST power reduction using scan-chain disable in the cell processor. In: Proceedings of the IEEE international test conference, Paper 32.3

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ho Fai Ko.

Additional information

Responsible Editor: B. Al-Hashimi

Rights and permissions

Reprints and permissions

About this article

Cite this article

Ko, H.F., Nicolici, N. Scan Division Algorithm for Shift and Capture Power Reduction for At-Speed Test Using Skewed-Load Test Application Strategy. J Electron Test 24, 393–403 (2008). https://doi.org/10.1007/s10836-007-5036-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5036-0

Keywords

Navigation