Skip to main content
Log in

A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

During back-end manufacturing process of IC, intervention of spot defects induces extra and missing material of interconnects causing circuit failures. Interconnect narrowing occurs when spot defects induce interconnects missing material without resulting in a complete cut. The narrow sites of defective interconnects favor electromigration that makes narrow interconnects more likely to induce a chip failure than regular interconnects. In this paper, an innovative layout sensitivity model accounting for “narrow” defects is derived. The paper also pioneers estimation of the probability of narrow interconnects in the die. The layout sensitivity model for narrow interconnects is tested and compared to actual and simulated data. Our layout sensitivity model predicts the probability of narrowing with 3.1% error, on average. The model is then combined with electromigration constraints to predict mean-time-to-failure of chips manufactured in future technologies down to 32 nm node. The paper concludes with some other possible applications of the narrow interconnect predictive model.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

Notes

  1. If the particle is moved furthermore, then the same positioning with respect to the channels would be repeated. Thus, moving the particle up to a distance of (w + s) would include all possible locations that a particle can have.

References

  1. Jones SW (2005) Introduction to integrated circuit technology. IC Knowlegde LLC, Georgetown, MA Revision, Nov

    Google Scholar 

  2. Nippon Muki, Co. Ltd. (2007) Air cleaning products. Available: http://www.nipponmuki.co.jp/e/jigyou/air/01.html [Accessed Mar. 18, 2007]

  3. International Technology Roadmap for Semiconductors (2006) 2006 report. International Technology Roadmap for Semiconductors 2006 Edition, Report

  4. Stapper CH (1976) LSI yield modeling and process monitoring. IBM J Res Develop 20(3):228–234

    Google Scholar 

  5. Nardi A, Vincentelli AL (2004) Logic synthesis for manufacturability. IEEE Des Test Comput 21:192–199, doi:10.1109/MDT.2004.15

    Article  Google Scholar 

  6. Segura J, Hawkins C (2004) CMOS electronics, how it works, how it fails. Wiley, New York, pp 161–163

    Google Scholar 

  7. de Vries DK, Simon PLC (2003) Calibration of open interconnect yield models. In: Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp 26–33, Nov

  8. Gandemer S, Tremintin BC, Charlot JJ (1988) Critical Area and critical levels calculation in IC yield modeling. IEEE J Solid State Circuits 35(2):158–166

    Google Scholar 

  9. Maly W (1985) Modeling of lithography related yield losses for CAD of VLSI circuits. IEEE Trans Comput-Aided Des CAD-4(3):166–177, doi:10.1109/TCAD.1985.1270112

    Article  Google Scholar 

  10. Maly W, Deszczka J (1983) Yield estimation model for VLSI artwork evaluation. Electron Lett 19(6):226–227, doi:10.1049/el:19830156

    Article  Google Scholar 

  11. Wagner IA, Koren I (1995) An interactive VLSI CAD tool for yield estimation. IEEE Trans Semicond Manuf 8(2):130–138, doi:10.1109/66.382276

    Article  Google Scholar 

  12. Walker H, Director SW (1986) VLASIC: a catastrophic fault yield simulator for integrated circuits. IEEE Trans Comp-Aided Des CAD-5(4):541–556, doi:10.1109/TCAD.1986.1270225

    Article  Google Scholar 

  13. Stapper CH (1983) Modeling of integrated circuit defect sensitivities. IBM J Res Develop 27:549–557

    Article  Google Scholar 

  14. Stapper CH (1984) Modeling of defects in integrated circuit photolithographic patterns. IBM J Res Develop 28(4):462–475

    Google Scholar 

  15. Christie P, de Gyvez JP (2001) Pre-layout prediction of interconnect manufacturability. In: Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP), pp 167–173, April

  16. Zarkesh-Ha P, Doniger K (2007) Stochastic interconnect layout sensitivity model. In: Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP), March

  17. Gungor RM, Maroudas D (1998) Electromigration-induced failure of metallic thin films due to transgranular void propagation. Appl Phys Lett 72(26):3452, doi:10.1063/1.121663

    Article  Google Scholar 

  18. Srinivasan J, Adve SV, Bose P, Rivers JA The impact of technology scaling on lifetime reliability. In: Proceedings of the International Conference on Dependable Systems and Networks (DSN), June 2004

  19. Cadence Design Systems (2002) Learning to live with electromigration. Cadence Design Systems, San Jose, CA White Paper, Oct

    Google Scholar 

  20. Lloyd JR (1999) Electromigration in integrated circuit conductors. J Phys D Appl Phys 32:R109–R118, doi:10.1088/0022-3727/32/17/201

    Article  MathSciNet  Google Scholar 

  21. Alam SM, Lip GC, Thompson CV, Troxel DE (2004) Circuit level reliability analysis of Cu interconnects. In: Quality Electronic Design, 2004. Proceedings. 5th International Symposium, pp 238–243

  22. Michael NL, Kim C, Jiang Q, Augur RA, Gillespie P (2002) Mechanism of electromigration failure in submicron Cu interconnects. J Electron Mater 31:1004–1008

    Article  Google Scholar 

  23. Roy A, Kumar R, Tan CM, Wong TKS, Tung C (2006) Electromigration in damascene copper interconnects of line width down to 100 nm. Semicond Sci Technol 21:1369–1372, Aug

    Article  Google Scholar 

  24. Michael NL, Kim C, Gillespie P, Augur R (2003) Electromigration failure in ultra-fine copper interconnects. J Electron Mater 32:988–993

    Article  Google Scholar 

  25. Alam SM, Wei FL Gan CL, Thompson CV, Troxel DE (2005) Electromigration reliability comparison of Cu and Al interconnects. In: Quality of Electronic Design, 2005. ISQED 2005. Sixth International Symposium, pp 303–308, Mar.

  26. Black J (1967) Mass transport of aluminum by momentum exchange with conducting electrons. In: Proceedings of the International Reliability Physics Symposium. pp 148–159, April

  27. Black J (1969) Electromigration failure modes in aluminum metallization for semiconductor devices. Proc IEEE 57(9):1587–1594, Sept

    Article  Google Scholar 

  28. Jedec Solid State Technology Association (2006) Failure mechanisms and models for semiconductor devices. JEDEC Publication JEP122-C, Mar

  29. de Gyvez JP (2001) Yield modeling and BEOL fundamentals. In: Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP), pp 135–163, April

  30. Maly W (1985) Modeling of lithography related yield losses for CAD of VLSI circuits. IEEE Trans Computer-Aided Design CAD-4(3):166–177, July

    Article  Google Scholar 

  31. Barsky R, Wagner IA (2004) Electromigration-dependent parametric yield estimation. In: Proceedings of the International Conference on Electronics, Circuits and Systems (ICECS), pp 121–124, Dec.

  32. Ghaida RS, Ouaiss I (2005) A different approach to fabricating three-dimensional integrated circuits. Lebanese American University, New York, October

    Google Scholar 

  33. Lanzerotti M, Fiorenza G, Rand R (2005) Microminature packaging and integrated circuitry: the work of E. Rent, with an application to on-chip interconnection requirements. IBM J Res Develop 49(4/5), July

  34. Guttmann P et al (2006) X-ray microscopy studies of electromigration in integrated circuits. In: Proceedings of the International Conference X-ray Microscopy (IPAP), pp 243–245, July

  35. University of Notre Dame (2007) Electromigration in ultranarrow interconnects. Available: http://www.nd.edu/~micro/ [Accessed Mar. 18, 2007]

Download references

Acknowledgments

The authors would like to acknowledge the fruitful discussions with Chuck Hawkins at University of New Mexico and Edward Cole of Sandia Research Labs.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rani S. Ghaida.

Additional information

Responsible Editors: C. Bolchini and Y.-B. Kim

Appendix A. Complete derivation of layout sensitivity model for narrow defects

Appendix A. Complete derivation of layout sensitivity model for narrow defects

In this appendix, we present a thorough derivation of the layout sensitivity model for narrow defects. The model is based on the layout sensitivity presented in [16].

Some assumptions are made in order to simplify the derivation of the model. First, we assume that interconnect routing is performed using a grid based approach. The layout grid consists of channels that can be either empty or occupied by interconnects. We also assume that the routing of different interconnects are independent of each other. These assumptions are made without loss of generality of the model since the same assumptions are also made in most yield analysis tools to perform critical area studies.

We define channel density, d, as the probability of a random channel to be filled. Therefore, the probability of a random channel to be empty is given by (1 − d). Channel density, d, can be deduced from the metal density, D, using the following expression:

$$D = d\frac{w}{{w + s}},$$
(7)

where w and s are the interconnect width and spacing, respectively.

It is important to note that a defect of a specific size r does not always cover the same number of channels. In fact, the number of channels covered by a defect depends on the size of the defect as well as its location. Therefore, to determine the probability for a defect of size r to cause an open defect, we need to find out the possible number of channels that can be covered and the chances for each case to occur. This is achieved by moving the defect a distance of (w + s) away from its original location, with steps equal to the smallest unit of distance, while checking the number of covered channels for every different location. The probability for the defect to cover a certain number of channels, N, is the ratio of all locations at which the defect covers N channels to the distance (w + s)Footnote 1 i.e. the total number of possible locations of the defect.

Let m be the minimum number of channels covered by the defect. It is recommended to refer to Fig. 14 for a better understanding of the derivation of the model. The defect covers a minimum number of channels when its leftmost (rightmost) edge coincides with the right (left) edge of the interconnect with the minimum width, w n , units of distance to the right (left) of the left (right) edge of a particular channel. At this point, the partially covered channel (channel B in example of Fig. 14) is not considered as a cut channel and will be referred to as the first channel. A part of the defect with distance (w − w n ) is needed to cover the first channel and the remaining part of distance (r − (w − w n )) is to cover the minimum number of channels m (refer to Fig. 14).

Fig. 14
figure 14

Example of a defect at a location covering the minimum number of channel m. In this case, the defect covers channels C and D, and therefore, m is equal to 2

The last channel (channel D in the example of Fig. 14) needs a distance of \(\left( {w - w_n + s} \right)\) to be covered. Other channels i.e. excluding first and last channels that we call m 1, need a distance of (w + s) to be covered by the defect and cause a channel cut. The number of channels m 1 that can be covered by the width (r − (w − w n )) of the defect is determined as follows:

$$m_1 = \left\lfloor {\frac{{r - \left( {w - w_n } \right)}}{{w + s}}} \right\rfloor .$$
(8)

For the remaining part of the defect that neither covers one of the m1 channels nor covers the first channel, which is equal to \(r - \left( {w - w_n } \right) - m_1 \left( {w + s} \right)\), we check if it cuts an additional channel (the last channel). The additional channel is considered as cut if the defect covers more than (w − w n ) of its total width. Therefore, m can be written as follows:

$$\matrix {m = m_1 + \left[ {\left\lfloor {\frac{{r - \left( {w - w_n } \right) - m_1 \left( {w + s} \right)}}{{w - w_n + s}}} \right\rfloor >0} \right]} \\ { = \left\lfloor {\frac{{r - \left( {w - w_n } \right)}}{{w + s}}} \right\rfloor + \left[ {\left\lfloor {\frac{{r - \left( {w - w_n } \right) - \left\lfloor {\frac{{r - \left( {w - w_n } \right)}}{{w + s}}} \right\rfloor \left( {w + s} \right)}}{{w - w_n + s}}} \right\rfloor >0} \right]} \ ,\matrix {m = m_1 + \left[ {\left\lfloor {\frac{{r - \left( {w - w_n } \right) - m_1 \left( {w + s} \right)}}{{w - w_n + s}}} \right\rfloor >0} \right]} \\ { = \left\lfloor {\frac{{r - \left( {w - w_n } \right)}}{{w + s}}} \right\rfloor + \left[ {\left\lfloor {\frac{{r - \left( {w - w_n } \right) - \left\lfloor {\frac{{r - \left( {w - w_n } \right)}}{{w + s}}} \right\rfloor \left( {w + s} \right)}}{{w - w_n + s}}} \right\rfloor >0} \right]} \ ,$$
(9)

where [x] is Iverson’s convention that evaluates to 1 if x is true, and 0 if x is false.

Now, we start moving the defect toward cutting the first channel with steps equal to the smallest unit of distance. We assume that the movement is always made to the left to simplify the explanation. At this stage, (m + 1) channels are cut, i.e. the first channel as well as all other channels that were considered in the minimum number m of channels. (m + 1) channels remains cut for a distance of \(r - \left( {w - w_n } \right) - \left( {w + s - w_n } \right) - \left( {m - 1} \right)\left( {w + s} \right)\), i.e. width of defect minus width of defect to cover first channel minus width of defect needed to cover the last channel minus width of defect needed to cover all other channels (m − 1 channels) as depicted by Fig. 14. This distance can be expressed by \(r - w - m\left( {w + s} \right) + 2w_n \).

After the defect is moved \(r - w - m\left( {w + s} \right) + 2w_n \), the last channel that was considered in the m channels will be uncovered instantly. There will be m cut channels until the left (right) edge of the defect coincides with the right (left) edge of the interconnect with the minimum width i.e. w n units of distance to the right (left) of the left (right) edge of the channel neighboring the first channel to its left (right). The defect would have moved for \(\left( {w + s} \right) - \left( {r - w - m\left( {w + s} \right) + 2w_n } \right)\), which evaluates to \(2w + s + m\left( {w + s} \right) - r - 2w_n \).

Thus, the defect either covers m channels with a probability of

$$\frac{{2w + s + m\left( {w + s} \right) - r - 2w_n }}{{w + s}},$$
(10)

or (m + 1) channels with a probability of

$$\frac{{r - w - m\left( {w + s} \right) + 2w_n }}{{w + s}}$$
(11)

The probability for the chip to overcome a defect that covers N channels is the probability for the N consecutive channels to be empty, which is (1 − d)N. Therefore, in the general case, the probability for the chip to overcome a defect of size r, i.e., the probability of survival, referred to as P s, is the product of the probability of a defect to cover a number N of channels by (1 − d)N summed up for all possible number of channels that the defect can cover. Since the defect can either cover m channels or (m + 1) channels as demonstrated earlier, then P NF is computed as in Eq. 12 (shown at the bottom of the page).

The layout sensitivity S n is defined to be the probability of chip failure P F. Thus, the layout

$$P_{\text{s}} = \frac{{2w + s + m\left( {w + s} \right) - r - 2w_n }}{{w + s}} \times \left( {1 - d} \right)^m + \frac{{r - w - m\left( {w + s} \right) + 2w_n }}{{w + s}} \times \left( {1 - d} \right)^{m + 1} $$
(12)

sensitivity is modeled as in Eq. 13 (shown at the bottom of the page).

$$S_n = P_{\text{F}} = 1 - P_{\text{s}} = 1 - \left( {\begin{array}{*{20}l} {\frac{{2w + s + m\left( {w + s} \right) - r - 2w_n }}{{w + s}} \times } \hfill & {\left( {1 - d} \right)^m } \hfill \\ { + \frac{{r - w - m\left( {w + s} \right) + 2w_n }}{{w + s}} \times } \hfill & {\left( {1 - d} \right)^{m + 1} } \hfill \\ \end{array} } \right)$$
(13)

Rights and permissions

Reprints and permissions

About this article

Cite this article

Ghaida, R.S., Zarkesh-Ha, P. A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects. J Electron Test 25, 67–77 (2009). https://doi.org/10.1007/s10836-008-5079-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-008-5079-x

Keywords

Navigation