Skip to main content
Log in

RTL DFT Techniques to Enhance Defect Coverage for Functional Test Sequences

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Functional test sequences are often used in manufacturing testing to target defects that are not detected by structural test. However, they suffer from low defect coverage since they are mostly derived in practice from existing design-verification test sequences. Therefore, there is a need to increase their effectiveness using design-for-testability (DFT) techniques. We present a DFT method that uses the register-transfer level (RTL) output deviations metric to select observation points for an RTL design and a given functional test sequence. Simulation results for six ITC′99 circuits show that the proposed method outperforms two baseline methods for several gate-level coverage metrics, including stuck-at, transition, bridging, and gate-equivalent fault coverage. Moreover, by inserting a small subset of all possible observation points using the proposed method, significant fault coverage increase is obtained for all benchmark circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5

Similar content being viewed by others

References

  1. Ahmed N, Tehranipoor M, Jayaram V (2006) Timing-based delay test for screening small delay defects. In: Design automation conference, pp 320–325

  2. Aktouf C, Fleury H, Robach C (2000) Inserting scan at the behavioral level. IEEE Des Test Comput 17:34–42

    Article  Google Scholar 

  3. Bushnell ML, Agrawal VD (2000) Essentials of electronic testing. Kluwer Academic, Norwell

    Google Scholar 

  4. Cho KY, Mitra S, McCluskey EJ (2005) Gate exhaustive testing. In: International test conference, pp 771–777

  5. Corno F, Reorda MS, Squillero G (2000) RT-level ITC’99 benchmarks and first ATPG result. IEEE Des Test Comput 17:44–53

    Article  Google Scholar 

  6. Dey S, Potkonjak M (1994) Non-scan design-for-testability of RT- level data paths. In: International conference on computer-aided design, pp 640–645

  7. Drako D, Cohen P (1998) HDL verification coverage. In: Integrated system design

  8. Fang H, Chakrabarty K, Jas A, Patil S, Trimurti C (2009) RT-level deviation-based grading of functional test sequences. In: VLSI test symposium, pp 264–269

  9. Fujiwara H, Iwata H, Yoneda T, Ooi Y (2008) A nonscan design-for- testability method for register-transfer-level circuits to guarantee linear- depth time expansion models. IEEE Trans Comput-aided Des Integr Circuits Syst 27:1535–1544

    Article  Google Scholar 

  10. Gangaram V, Bhan D, Caldwell JK (2006) Functional test selection using dynamic untestability analysis. In: Internaltional workshop on microprocessor test and verification

  11. Gatej J et al (2002) Evaluating ATE features in terms of test escape rates and other cost of test culprits. In: International test conference, pp 1040–1049

  12. Ghosh I, Fujita M (1999) Automatic test pattern generation for functional RTL circuits using assignment decision diagrams. In: Design automation conference, pp 43–48

  13. Ghosh I, Raghunathan A, Jha NK (1995) Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. In: IEEE international conference on computer design, pp 173–179

  14. Ghosh I, Raghunathan A, Jha NK (1998) A design for testability technique for RTL circuits using control/dataflow extraction. IEEE Trans Comput-aided Des Integr Circuits Syst 17:706–723

    Article  Google Scholar 

  15. Goloubeva O, Jervan G, Peng Z, Reorda MS, Violante M (2002) High-level and hierarchical test sequence generation. In: HLDVT, pp 169–174

  16. Grossman JP, Salmon JK, Ho CR, Ierardi DJ, Towles B, Batson B, Spengler J, Wang SC, Mueller R, Theobald M, Young C, Gagliardo J, Deneroff MM, Dror RO, Shaw DE (2008) Hierarchical simulation-based verification of Anton, a special-purpose parallel machine. In: IEEE international conference on computer design, pp 340–347

  17. Guo R, Mitra S, Amyeen E, Lee J, Sivaraj S, Venkataraman S (2006) Evaluation of test metrics: Stuck-at, bridge coverage estimate and gate exhaustive. In: VLSI test symposium, pp 66–71

  18. Guzey O, Wang L-C (2007) Coverage-directed test generation through automatic constraint extraction. In: HLDVT, pp 151–158

  19. Hosokawa T, Inoue R, Fujiwara H (2007) Fault-dependent/independent test generation methods for state observable FSMs. In: Asian test symposium, pp 275–280

  20. Huang Y, Tsai C-C, Mukherjee N, Samman O, Cheng W-T, Reddy SM (2002) Synthesis of scan chains for netlist descriptions at RT-level. Journal of Electronic Testing: Theory and Applications (JETTA) 18:189–201

    Article  Google Scholar 

  21. Inoue R, Hosokawa T, Fujiwara H (2008) A test generation method for state-observable FSMs to increase defect coverage under the test length constraint. In: Asian test symposium, pp 27–34

  22. Kang J, Seth SC, Gangaram V (2007) Efficient RTL coverage metric for functional test selection. In: VLSI test symposium, pp 318–324

  23. Kim H, Hayes JP (1998) High-coverage ATPG for datapath circuits with unimplemented blocks. In: International test conference, pp 577–586

  24. Lin X et al (2006) Timing-aware atpg for high quality at-speed testing of small delay defects. In: Asian test symposium, pp 139–146

  25. Lv T, Li H, Li X (2009) Automatic selection of internal observation signals for design verification. In: VLSI test symposium, pp 203–208

  26. Mao W, Gulati RK (1996) Improving gate level fault coverage by RTL fault grading. In: International test conference, pp 150–159

  27. Mathaikutty DA, Ahuja S, Dingankar A, Shukla S (2007) Model-driven test generation for system level validation. In: HLDVT, pp 83–90

  28. Maxwell PC, Hartanto I, Bentz L (2000) Comparing functional and structural tests. In: International test conference, pp 400–407

  29. Navabi Z (1997) VHDL: analysis and modeling of digital systems. McGraw-Hill, Hightstown

    Google Scholar 

  30. Norwood RB, McCluskey EJ (1996) Orthogonal scan: low overhead scan for data paths. In: International test conference, pp 659–668

  31. Ravi S, Jha NK (2001) Fast test generation for circuits with RTL and gate-level views. In: International test conference, pp 1068–1077

  32. Rearick J, Rodgers R (2005) Calibrating clock stretch during AC scan testing. In: International test conference, pp 266–273

  33. Santos MB, Goncalves FM, Teixeira IC, Teixeira JP (2001) RTL-based functional test generation for high defects coverage in digital systems. Journal of Electronic Testing: Theory and Applications (JETTA) 17:311–319

    Article  Google Scholar 

  34. Thaker PA, Agrawal VD, Zaghloul ME (1999) Validation vector grade (VVG): a new coverage metric for validation and test. In: VLSI test symposium, pp 182–188

  35. Thaker PA, Agrawal VD, Zaghloul ME (2000) Register-transfer level fault modeling and test evaluationtechniques for VLSI circuits. In: International test conference, pp 940–949

  36. Vij AK (2002) Good scan=good quality level? well, it depends.... In: International test conference, p 1195

  37. Wada H, Masuzawa T, Saluja KK, Fujiwara H (2000) Design for strong testability of RTL data paths to provide complete fault efficiency. In: IEEE international conference on VLSI design, pp 300–305

  38. Wang Z, Chakrabarty K (2008) Test-quality/cost optimization using output-deviation-based reordering of test patterns. IEEE Trans Comput-aided Des Integr Circuits Syst 27:352–365

    Article  Google Scholar 

  39. Wang Z, Fang H, Chakrabarty K, Bienek M (2009) Deviation-based LFSR reseeding for test-data compression. IEEE Trans Comput-aided Des Integr Circuits Syst 29:259–271

    Article  Google Scholar 

  40. Yogi N, Agrawal VD (2006) Spectral RTL test generation for gate-level stuck-at faults. In: Asian test symposium, pp 83–88

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hongxia Fang.

Additional information

Responsible Editor: P. Mishra

This research was supported in part by the Semiconductor Research Corporation under Contract no. 1588, and by an Invitational Fellowship from the Japan Society for the Promotion of Science. This paper is based on a preliminary version of an invited paper in Proceedings of IEEE International High Level Design Validation and Test Workshop, 2009, and a presentation at the IEEE Workshop on RTL and High-Level Testing, 2009.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Fang, H., Chakrabarty, K. & Fujiwara, H. RTL DFT Techniques to Enhance Defect Coverage for Functional Test Sequences. J Electron Test 26, 151–164 (2010). https://doi.org/10.1007/s10836-009-5135-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-009-5135-1

Keywords

Navigation