Skip to main content

Advertisement

Log in

CSL: Configurable Fault Tolerant Serial Links for Inter-die Communication in 3D Systems

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Three dimensional (3D) integrated systems become a reality nowadays, as Thru-Silicon-Via (TSV) technologies mature. 3D integration promises significant performance and energy efficiency improvements by reducing the signal travel distances and integrating more capabilities on a single chip. High integration costs, thermal management, and poor reliability and yield are major challenges of TSV based 3D chips. High structural and parametric fault rates due to manufacturing defects makes it difficult to achieve high interconnect yield using only spare-based repair solutions. In this paper we address the TSV yield issue by implementing the inter-die links of 3D chips as Configurable fault-tolerant Serial Links (CSLs). When there are not enough available functional TSVs, faults are tolerated by performing data serialization. CSLs help reduce chip costs by improving the TSV yield with very few or no spares at all. For 3D Networks-on-Chip (3D NoCs) we show that the CSL yield improvement comes with moderate area overheads (~12–26%) and small performance penalties (less than 5% average latency overhead).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Bai X, Dey S, Rajski J (2000) Self-test methodology for at-speed test of crosstalk in chip interconnects. Proceedings of the 37th Design Automation Conference, 619–624

  2. Banerjee K, Souri SJ, Kapur P, Saraswat KC (2001) 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc IEEE 89(5):602–633

    Article  Google Scholar 

  3. Bartzas A, Siozios K, Soudris D (2009) Three dimensional Networks on chip architectures. In: Gebali F, Elmiligi H, El-Kharashi MW (eds) Networks-on-chips: Theory and Practice. CRC Press

  4. Cuviello M, Dey S, Bai X, Zhao Y (1999) Fault modeling and simulation for crosstalk in system-on-chip interconnects. Proceedings of International Conference on Computer-Aided Design, 297–303

  5. Emma PG, Kursur E et al (2008) Is 3D chip technology the next growth engine for performance improvement? IBM Journal of Research and Development 52(6)

  6. Feero S, Pande PP (2009) Networks-on-chip in a three-dimensional environment: a performance evaluation. IEEE Trans Comput 58(1):32–45

    Article  MathSciNet  Google Scholar 

  7. Goplen B, Sapatnekar S (2005) Thermal via placement in 3D ICs. Proceedings of the International Symposium on Physical Design, 167–174

  8. Grange M, Weerasekera R, Pamunuwa D, Tenhunen H (2009) Examination of delay and signal integrity metrics in through silicon vias. Proceedings of 3D Integration Workshop, Design Automation and Test in Europe Conference, 89–92

  9. Hsieh C, Hwang TT, Chang MT, Tsai HS, Tseng CM, Li H-C (2010) TSV redundancy: Architecture and design issues in 3D IC. Proceedings of Design Automation and Test in Europe Conference, 166–171

  10. Jiang L, Liu Y, Duan L, Xie Y, Xu Q (2010) Modeling TSV open defects in 3D-Stacked DRAM. Proceedings of the IEEE International Test Conference, paper 6.1

  11. Kang U, Chung H-J, Heo S et al (2010) 8 Gb 3-D DDR3 DRAM using through-silicon-via technology. IEEE J Solid State Circuits 45(1):111–119

    Article  Google Scholar 

  12. Karmarkar AP, Xiaopeng Xu X, Moroz V (2009) Performanace and reliability analysis of 3D-integration structures employing Through Silicon Via (TSV). Proccedings of International Reliability Physics Symposium, 682–687

  13. Kim B, Sharbono C, Ritzdorf T, Schmauch D (2006) Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking. Proceeding of Electronic Components and Technology Conference, 6

  14. Leduc P, de Crecy F, Fayolle M, Charlet B, Enot T, Zussy M et al (2007) Challenges for 3D IC integration: bonding quality and thermal management. Proceedings of the IEEE International Interconnect Technology Conference, 210–212

  15. Liu X, Chen O, Dixit P, Chatterjee R, Tummala RR, Sitaraman SK (2009) Failure mechanisms and optimum design for electroplated copper Through-Silicon Vias (TSV). Proceeding of 59th Electronic Components and Technology Conference, 624–629

  16. Loi I, Mitra S, Lee TH, Fujita S, Benini L (2008) A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Proceedings of the International Conference on Computer-Aided Design, 598–602

  17. Marinissen EJ (2010) Testing TSV-based three-dimensional stacked ICs. Proceedings of the Conference on Design, Automation and Test in Europe, 1689–1694

  18. Pasca V, Anghel L, Benabdenbi M (2011) Configurable TSV Interconnect Built-In Self-Test and Diagnosis. Proceedings of the 12th Latin American Test Workshop, 1–6

  19. Pasricha S (2009) Exploring serial vertical interconnects for 3D ICs. Proceedings of the 46th Annual Design Automation Conference, 581–586

  20. Pavlidis VF, Friedman EG (2007) 3-D topologies for networks-on-chip. IEEE Transactions on Very Large Scale Integrated Systems 15(10):1081–1090

    Article  Google Scholar 

  21. Seiculescu C, Murali S, Benini L, De Micheli G (2010) SunFloor 3D: a tool for networks on chip topology synthesis for 3D systems on chips. IEEE Trans Comput Aided Des Integrated Circ Syst 29(12):1987–2000

    Article  Google Scholar 

  22. Topol AW et al (2006) Three-dimensional integrated circuits. IBM Journal of Research and Development 50(4/5)

  23. Velenis D, Stucchi M, Marinissen EJ, Swinnen B, Beyne E (2009) Impact of 3D design choices on manufacturing cost. Proceedings of the IEEE International Conference on 3D System Integration, 1–5

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vladimir Pasca.

Additional information

Responsible Editor: E.J. Marinissen

Rights and permissions

Reprints and permissions

About this article

Cite this article

Pasca, V., Anghel, L., Nicolaidis, M. et al. CSL: Configurable Fault Tolerant Serial Links for Inter-die Communication in 3D Systems. J Electron Test 28, 137–150 (2012). https://doi.org/10.1007/s10836-011-5260-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-011-5260-5

Keywords

Navigation