Skip to main content
Log in

Structural Test and Diagnosis for Graceful Degradation of NoC Switches

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Networks-on-Chip (NoCs) are implicitly fault tolerant and due to their inherent redundancy they can overcome defective cores, links and switches. This effect can be used to increase yield at the cost of reduced performance. In this paper, a new diagnosis method based on the standard flow of industrial volume testing is presented, which is able to identify the intact functions of a defective network switch rather than providing only a pass/fail result for the complete switch. To achieve this, the new method combines for the first time the precision of structural testing with information on the functional behavior in the presence of defects. This allows to disable defective parts of a switch after production test and use the intact functions. Thereby, only a minimum performance decrease is induced while the yield is increased. According to the experimental results, the method improves the performability of NoCs since 56.86 % and 72.42 % of defects in two typical switch models only impair one switch port. Unlike previous methods for implementing fault tolerant switches, the developed technique does not impose any additional area overhead and is compatible with many common switch designs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

References

  1. Agarwal A, Paul B, Roy K (2004) A novel fault tolerant cache to improve yield in nanometer technologies. In: Proc. 10th international on-line testing symposium (IOLTS’04), pp 149–154

  2. Agarwal A, Iskander C, Shankar R (2009) Survey of network on chip (NoC) architectures & contributions. J Eng Comput Archit 3(1):13–27

    Google Scholar 

  3. Alaghi A, Karimi N, Sedghi M, Navabi Z (2007) Online NoC switch fault detection and diagnosis using a high level fault model. In: Proc. 22nd international symposium on defect and fault-tolerance in VLSI systems (DFT’07), pp 21–29

  4. Ali M, Welzl M, Zwicknagl M, Hellebrand S (2005) Considerations for fault-tolerant network on chips. In: Proc. 17th international conference on microelectronics (ICM’05), pp 178–182

  5. Amory A, Briao E, Cota E, Lubaszewski M, Moraes F (2005) A scalable test strategy for network-on-chip routers. In: Proc. IEEE international test conference (ITC’05), p 25.1

  6. Bartenstein T, Heaberlin D, Huisman LM, Sliwinski D (2001) Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm. In: Proc. IEEE international test conference (ITC’01), pp 287–296

  7. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. Computer 35(1):70–78

    Article  Google Scholar 

  8. Chang Y-C, Chiu C-T, Lin S-Y, Liu C-K (2011) On the design and analysis of fault tolerant noc architecture using spare routers. In: 2011 16th asia and south pacific design automation conference (ASP-DAC), pp 431–436

  9. Chiu G-M (2000) The odd-even turn model for adaptive routing. IEEE Trans Parallel Distrib Syst 11(7):729–738

    Article  Google Scholar 

  10. Fick D, DeOrio A, Hu J, Bertacco V, Blaauw D, Sylvester D (2009) Vicis: a reliable network for unreliable silicon. In: Proc. 46th ACM/IEEE design automation conference (DAC’09), pp 812–817

  11. Fukushima Y, Fukushi M, Horiguchi S (2009) Fault-tolerant routing algorithm for network on chip without virtual channels. In: Proc. 24th IEEE international symposium on defect and fault tolerance in VLSI systems (DFT’09), pp 313–321

  12. Grecu C, Pande P, Wang B, Ivanov A, Saleh R (2005) Methodologies and algorithms for testing switch-based noc interconnects. In: Proc. 20th international symposium on defect and fault tolerance in VLSI systems (DFT’05), pp 238–246

  13. Grecu C, Pande P, Ivanov A, Saleh R (2006) BIST for network-on-chip interconnect infrastructures. In: Proc. 24th IEEE VLSI test symposium (VTS’06), pp 30–35

  14. Holst S, Wunderlich H-J (2009) Adaptive debug and diagnosis without fault dictionaries. J Electron Test – Theor Appl (JETTA) 25(4–5):259–268

    Article  Google Scholar 

  15. Hosseinabady M, Dalirsani A, Navabi Z (2007) Using the inter- and intra-switch regularity in NoC switch testing. In: Proc. design, automation & test in Europe conference & exhibition (DATE’07), pp 361–366

  16. Huisman LM (2004) Diagnosing arbitrary defects in logic designs using single location at a time (SLAT). IEEE Trans Comput-Aided Des Integr Circuits Syst 23(1):91–101

    Article  Google Scholar 

  17. Huisman LM (2005) Data mining and diagnosing IC fails. Springer

  18. Kakoee M, Bertacco V, Benini L (2011) Relinoc: a reliable network for priority-based on-chip communication. In: Design, automation test in Europe conference exhibition (DATE), 2011, pp 1–6

  19. Kohler A, Radetzki M (2009) Fault-tolerant architecture and deflection routing for degradable noc switches. In: Proc. 3rd ACM/IEEE international symposium on networks-on-chip (NOCS ’09), pp 22–31

  20. Kohler A, Schley G, Radetzki M (2010) Fault tolerant network on chip switching with graceful performance degradation. IEEE Trans Comput-Aided Des Integr Circuits Syst 29(6):883–896

    Article  Google Scholar 

  21. Lin S-Y, Shen W-C, Hsu C-C, Wu A-YA (2009) Fault-tolerant router with built-in self-test/self-diagnosis and fault-isolation circuits for 2D-mesh based chip multiprocessor systems. Int J Electr Eng 16(3):213–222

    Google Scholar 

  22. Palesi M, Kumar S, Catania V (2010) Leveraging partially faulty links usage for enhancing yield and performance in networks-on-chip. IEEE Trans Comput-Aided Des Integr Circuits Syst 29(3):426–440

    Article  Google Scholar 

  23. Pande P, Grecu C, Ivanov A, Saleh R, De Micheli G (2005) Design, aynthesis, and test of networks on chips. Des Test Comput 22(5):404–413

    Article  Google Scholar 

  24. Raik J, Ubar R, Govind V (2007) Test configurations for diagnosing faulty links in NoC switches. In: Proc. 12th IEEE European test symposium (ETS ’07), pp 29–34

  25. Raik J, Govind V, Ubar R (2009) Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips. IET Comput Digit Tec 3(5):476–486

    Article  Google Scholar 

  26. Vangal S, Howard J, Ruhl G, Dighe S, Wilson H, Tschanz J, Finan D, Singh A, Jacob T, Jain S, Erraguntla V, Roberts C, Hoskote Y, Borkar N, Borkar S (2008) An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE J Solid-State Circuits 43(1):29–41

    Article  Google Scholar 

  27. Wunderlich H-J, Holst S (2009) Generalized fault modeling for logic diagnosis. In: Wunderlich H-J (ed) Models in hardware testing. Springer, pp 159–184

  28. Zhang Z, Greiner A, Taktak S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: Proc. 45th ACM/IEEE design automation conference (DAC’08), pp 441–446

Download references

Acknowledgement

Part of this work was funded by the DFG project ROCK under Wu 245/12-1.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Atefe Dalirsani.

Additional information

Responsible Editor: C. Metra

Rights and permissions

Reprints and permissions

About this article

Cite this article

Dalirsani, A., Holst, S., Elm, M. et al. Structural Test and Diagnosis for Graceful Degradation of NoC Switches. J Electron Test 28, 831–841 (2012). https://doi.org/10.1007/s10836-012-5329-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-012-5329-9

Keywords

Navigation