Skip to main content
Log in

Reconfigurable Concurrent Error Detection Adaptive to Dynamicity of Power Constraints

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Concurrent Error Detection (CED) methods provide some level of error detection capability at the cost of some area and power overhead. Incorporating CED schemes into Integrated Circuits (ICs) is becoming increasingly more important, as the continuous technology scaling leads to an ever-higher transient error-related failure rate. For many applications, the error detection capability must be reconfigured dynamically, in order to adapt to the available power budget, criticality of the processed data, etc. In this work, we propose a reconfigurable duplication-based CED infrastructure for ICs. While duplication provides high CED coverage, its power budget requirement of having two circuits operate all the time limits its application. The key idea of reconfiguration is to enable/disable the operation of the duplicate circuit according to a set of control conditions. When CED is disabled, the inputs to the duplicate circuit retain their previous values (i.e., reduction in power dissipation via elimination of switching activity), yet errors are not detected (i.e., reduction in CED coverage). Experimental results using random and judicious selection of control conditions indicate that power dissipation is commensurate with CED coverage, supporting the use of LFSR structures to easily generate and adjust conditions dynamically to adapt to the power constraints of the system during its operation. Moreover, online testing using nonidentical input vectors can also be incorporated, improving the tradeoff between power dissipation and CED coverage.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

Notes

  1. Hence, each final condition enables/disables CED with an average ratio of \(\frac {1}{2^n}\).

References

  1. Almukhaizim S, Makris Y (2007) Concurrent error detection methods for asynchronous burst-mode machines. IEEE Trans Comput 56(6):785–798

    Article  MathSciNet  Google Scholar 

  2. Almukhaizim S, Drineas P, Makris Y (2004) On concurrent error detection with bounded latency in FSMs. In: Proc. of design, automation, and test in Europe conference, pp 596–601

  3. Almukhaizim S, Drineas P, Makris Y (2006) Entropy-driven parity-tree selection for low-overhead concurrent error detection in finite state machines. IEEE Trans Comput Aided Des Integr Circ Syst 25(8):1547–1554

    Article  Google Scholar 

  4. Almukhaizim S, Bunian S, Sinanoglu O (2010) Reconfigurable low-power concurrent error detection in logic circuits. In: Proc. of international design and test workshop, pp 91–96

  5. Alves N, Nepal K, Dworak J, Bahar RI (2008) Using implications for online error detection. Proc Int Test Conf 24(2):1–10

    Google Scholar 

  6. Berger JM (1961) A note on error detection codes for asymmetric channels. Inf Control 4:68–73

    Article  MATH  Google Scholar 

  7. Bild DR, Misra S, Chantemy T, Kumar P, Dick RP, Hu XS, Shang L, Choudhary A (2008) Temperature-aware test scheduling for multi processor systems-on-chip. In: Proc. of international conference on computer-aided design, pp 59–66

  8. Bolchini C, Salice F, Sciuto D (1997) A novel methodology for designing TSC networks based on the parity bit code. In: Proc. of European design and test conference, pp 440–444

  9. Breuer MA (2005) Multi-media applications and imprecise computation. In: Proc. of Euromicro symposium on digital systems design, pp 2–7

  10. Breuer MA, Zhu H (2006) Error-tolerance and multi-media. In: Proc. of international conference on intelligent information hiding and multimedia signal processing, pp 521–524

  11. Carro L, Argyrides C, Pradhan DK (2008) Algorithm level fault tolerance: a technique to cope with long duration transient faults in matrix multiplication algorithms. In: Proc. of VLSI test symposium, pp 363–370

  12. Choudhury MR, Mohanram K (2008) Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In: Proc. of design, automation, and test in Europe conference, pp 903–908

  13. Das D, Touba NA (1999) Synthesis of circuits with low-cost concurrent error detection based on Bose-Lin codes. J Electron Test Theory Appl 15(1-2):145–155

    Article  Google Scholar 

  14. Erigson MI, Carro L (2007) System level approaches for mitigation of long duration transient faults in future technologies. In: Proc. of European test symposium, pp 165–172

  15. Ernst D, Das S, Lee S, Blaauw D, Austin TM, Mudge TN, Kim NS, Flautner K (2004) Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6):10–20

    Article  Google Scholar 

  16. Ghosh S, Basu S, Touba NA (2005) Synthesis of low power CED circuits based on parity codes. In: Proc. of VLSI test symposium, pp 315–320

  17. Goessel M, Ocheretny V, Sogomonyan E, Marienfeld D (2008) New methods of concurrent checking, vol 42. Springer, Netherlands

    Google Scholar 

  18. Hennessey JL, Patterson DA (2007) Computer architecture: a quantitative approach, 4th edn. Morgan Kaufmann Publishers, Inc, San Mateo

    Google Scholar 

  19. Jiang H, Marek-Sadowska M, Nassif SR (2005) Benefits and costs of power-gating technique. In: Proc. of international conference on computer design, pp 559–566

  20. Kastensmidt FL, Neto EH, Wirth G, Carro L (2007) Using built-in sensors to cope with long duration transient faults in future technologies. In: Proc. of international test conference, pp 24.3.1–10

  21. Kogge P, et al (2008) ExaScale computing study: technology challenges in achieving exascale systems. DARPA Information Processing Techniques Office (IPTO) sponsored study

  22. Lee HK, Ha DS (1996) HOPE: an efficient parallel fault simulator for synchronous sequential circuits. IEEE Trans Comput Aided Des Integr Circ Syst 15(9):1048–1058

    Article  Google Scholar 

  23. Liu C, Iyengar V, Pradhan DK (2006) Thermal-aware testing of network-on-chip using multiple-frequency clocking. In: Proc. of VLSI test symposium, pp 46–51

  24. Macii E, Pedram M, Somenzi F (1997) High-level power modeling, estimation and optimization. In: Proc. of design automation conference, pp 504–511

  25. Makris Y, Drineas P (2003) SPaRe: selective partial replication for concurrent fault detection in FSMs. IEEE Trans Instrum Meas 52(6):1729–1737

    Article  Google Scholar 

  26. Mitra S, McCluskey EJ (2000) Which concurrent error detection scheme to choose? In: Proc. of international test conference, pp 985–994

  27. McCluskey EJ (1990) Design techniques for testable embedded error checkers. IEEE Comput 23(7):84–88

    Article  Google Scholar 

  28. Piestrak SJ (1987) Design of fast self-testing checkers for a class of Berger codes. IEEE Trans Comput 36(5):629–634

    Article  MATH  Google Scholar 

  29. Pomeranz I, Reddy SM (2005) Concurrent online testing of identical circuits using nonidentical input vectors. IEEE Trans Dependable Secure Comput 2(3):190–200

    Article  Google Scholar 

  30. Rosinger P, Al-Hashimi B, Nicolici N (2001) Power constrained test scheduling using power profile manipulation. In: Proc. of international symposium on circuits and systems, pp 251–254

  31. Rosinger P, Al-Hashimi B, Chakrabarty K (2005) Rapid generation of thermal-safe test schedules. In: Proc. of design, automation, and test in Europe conference, pp 840–845

  32. Schnurmann HD, Lindbloom E, Carpenter RG (1975) The weighted random test-pattern generator. IEEE Trans Comput 24(7):695–700

    Article  MATH  Google Scholar 

  33. Shivakumar P, Kistler M, Keckler SW, Burger D, Alvisi L (2002) Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proc. of international conference on dependable systems and networks, pp 389–398

  34. Spainhower L, Gregg TA (1999) IBM S/390 parallel enterprise server G5 fault tolerance: a historical perspective. IBM J Res Dev 43(5):863–874

    Article  Google Scholar 

  35. Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23(4):294–303

    Article  Google Scholar 

  36. Touba NA, McCluskey EJ (1997) Logic synthesis of multilevel circuits with concurrent error detection. IEEE Trans Comput Aided Des Integr Circ Syst 16(7):783–789

    Article  Google Scholar 

  37. Webb CF, Liptay JS (1997) A high frequency custom S/390 microprocessor. IBM J Res Dev 41(4/5):463–474

    Article  Google Scholar 

  38. Yang Y, Gu Z, Zhu C, Dick RP, Shang L (2007) ISAC: integrated space-and-time-adaptive chip-package thermal analysis. IEEE Trans Comput Aided Des Integr Circ Syst 26(1):86–99

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sobeeh Almukhaizim.

Additional information

Responsible Editor: N. A. Touba

Preliminary version of this work has been presented in [4].

Rights and permissions

Reprints and permissions

About this article

Cite this article

Almukhaizim, S., Bunian, S. & Sinanoglu, O. Reconfigurable Concurrent Error Detection Adaptive to Dynamicity of Power Constraints. J Electron Test 29, 73–86 (2013). https://doi.org/10.1007/s10836-012-5347-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-012-5347-7

Keywords

Navigation