Skip to main content
Log in

Pattern Generation for Understanding Timing Sensitivity to Power Supply Noise

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Timing prediction has become more and more difficult with shrinking technology nodes. Combining the pre-silicon delay model with post-silicon timing measurements has the potential to improve the accuracy of timing analysis. In this work, we address the problem of automatic test pattern generation for understanding circuit timing sensitivity to power supply noise (PSN) during post-silicon validation. Long paths are selected from a pseudo functional test set to span the power delivery network. To determine the sensitivity of timing to on-chip noise, the patterns are intelligently filled to achieve the desired PSN level. Our previous PSN control scheme is enhanced to consider both spatial and temporal information for better correlation with functional PSN. These patterns can be used to understand timing sensitivity in post-silicon validation by repeatedly applying the path delay test while sweeping the PSN experienced by the path from low to high.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Bian K, Walker D M H, Khatri S, and Lahiri S (2013) Mixed structural-functional path delay test generation and compaction. Proc. IEEE Int Symp Defect Fault Tolerance VLSI Nanotechnol Syst pp. 7–12.

  2. Cadence Design Systems, Voltage Storm Power Verification Datasheet, Retrieved from http://www.cadence.com/rl/Resources/datasheets/voltage_storm_ds.pdf, April 28, 2014.

  3. Fan X, Reddy S, and Pomeranz I (2011) Max-fill: A method to generate high quality delay tests. Proc Int Symp Des Diagn Electr Circ Syst pp. 375–380

  4. Fang L and Hsiao M S (2008) A fast approximation algorithm for MIN-ONE SAT. Proc Des Autom Test Eur pp. 1087–1090

  5. Krstic A, Jiang YM, Cheng KT (2001) Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects. IEEE Trans CAD 20(3):416–425

    Article  Google Scholar 

  6. Li X, Rutenbar R R, and Blanton R D (2009) Virtual probe: a statistically optimal framework for minimum-cost silicon characterization of nanoscale integrated circuits. Proc. IEEE/ACM International Conference on Computer-Aided Design pp. 433–440

  7. Lin YC, Lu F, Cheng KT (2006) Pseudo functional testing. IEEE Trans CAD 25(8):1535–1546

    Article  Google Scholar 

  8. Ma J, Tehranipoor M (2011) Layout-aware critical path delay test under maximum power supply noise effects. IEEE Trans CAD 30(12):1923–1934

    Article  Google Scholar 

  9. Pei S, Li H, Li X (2012) A high-precision on-chip path delay measurement architecture. IEEE Trans VLSI 20(9):1565–1577

    Article  Google Scholar 

  10. Remersaro S, Lin X, Zhang Z, Reddy SM, Pomeranz I and Rajski J (2006) Preferred fill: A scalable method to reduce capture power for scan based designs. Proc IEEE Int Test Conf pp. 1–10

  11. Sde-Paz S and Salomon E (2008) Frequency and power correlation between at-speed scan and functional tests. Proc IEEE Int Test Conf pp. 1–9

  12. Wang J, Qiu W, Fancler S, Walker D, Lu X, Yue Z.and Shi W (2005) Static compaction of delay tests considering power supply noise. Proc IEEE VLSI Test Symp pp. 235–240

  13. Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja K K and Kinoshita K (2005) Low-capture-power test generation for scan-based at-speed testing. Proc IEEE Int Test Conf pp. 1019–1028

  14. Wu M F, Pan H C, Wang T H, Huang J L, Tsai K H and Cheng W T (2010) Improved weight assignment for logic switching activity during at-speed test pattern generation. Proc. Asia and South Pacific Design Automation Conference pp. 493–498

  15. Yuan F, Liu X, and Xu Q (2011) Pseudo-functional testing for small delay defects considering power supply noise effects. Proc. IEEE/ACC Int Conf Comput-Aided Des pp. 34–39

  16. Zhang T, Gao Y and Walker D M H (2014) pattern generation for understanding timing sensitivity to power supply noise. Proc. IEEE North atlantic test workshop pp. 61–64

  17. Zhang T and Walker D M H (2013) Power supply noise control in pseudo functional test. Proc. IEEE VLSI Test Symposium pp. 1–6.

  18. Zhang T and Walker D M H (2014) Improved Power supply noise control in pseudo functional test. Proc. IEEE VLSI Test Symposium pp. 1–6

  19. Zhang X, Ye J, Hu Y, and Li X (2013) Capturing post-silicon variation by layout-aware path-delay testing. Proc. Des Autom Test Eur pp. 288–291

Download references

Acknowledgments

This work was supported in part by the Semiconductor Research Corporation under contract 2010-TJ-2096 and by the National Science Foundation under grant CCF-1117982.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tengteng Zhang.

Additional information

Responsible Editor: T. Xia

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, T., Gao, Y. & Walker, D.M.H. Pattern Generation for Understanding Timing Sensitivity to Power Supply Noise. J Electron Test 31, 99–106 (2015). https://doi.org/10.1007/s10836-014-5502-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-014-5502-4

Keywords

Navigation