Skip to main content
Log in

A Fast Statistical Soft Error Rate Estimation Method for Nano-scale Combinational Circuits

Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Nano-scale digital integrated circuits are getting increasingly vulnerable to soft errors due to aggressive technology scaling. On the other hand, the impacts of process variations on characteristics of the circuits in nano era make statistical approaches as an unavoidable option for soft error rate estimation procedure. In this paper, we present a novel statistical Soft Error Rate estimation framework. The vulnerability of the circuits to soft errors is analyzed using a newly defined concept called Statistical Vulnerability Window (SVW). SVW is an inference of the necessary conditions for a Single Event Transient (SET) to cause observable errors in the given circuit. The SER is calculated using a probabilistic formulation based on the parameters of SVWs. Experimental results show that the proposed method provides considerable speedup (about 5 orders of magnitude) with less than 5 % accuracy loss when compared to Monte-Carlo SPICE simulations. In addition, the proposed framework, keeps its efficiency when considering a full spectrum charge collections (more than 36X speedups compared to the most recently published similar work).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. (2001) JEDEC standard: measurements and reporting of alpha particles and terrestrial comic ray-induced soft errors in semiconductor devices. Technical Report JESD89

  2. Asadi H, Tahoori MB, Fazeli M, Miremadi SG (2012) Efficient algorithms to accurately compute derating factors of digital circuits. Microelectron Reliab 52:1215–1226

    Article  Google Scholar 

  3. Blaauw D, Chopra K, Srivastava A, Scheffer L (2008) Statistical timing analysis: from basic principles to state of the art. IEEE Trans Comput-Aided Des (TCAD) 27(4):589–607

    Article  Google Scholar 

  4. Chang AC-C, Huang RH-M, Wen CH-P (2013) CASSER: a closed-form analysis framework for statistical soft error rate. IEEE Trans Very Large Scale Integr Syst (TVLSI) 21(10):1837–1848

    Article  Google Scholar 

  5. Cormen TH, Leiserson CL, Rivest RL, Stein C (2001) Introduction to algorithms. MIT Press & McGraw-Hill, 2nd edition

  6. Dixit A, Wood A (2011) The impact of new technology on soft error rates. International Reliability Physics Symposium (IRPS), pp. 5B.4.1–5B.4.7

  7. Dodd PE, Massengill LW (2003) Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Trans Nucl Sci (TNS) 50(3):583–602

    Article  Google Scholar 

  8. Dodd PE, Massengill LW (2003) Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Trans Nucl Sci 50(3):583–602

    Article  Google Scholar 

  9. Ferlet-Cavrois V, Massengill LW, Gouker P (2013) Single event transients in digital CMOS: a review. IEEE Trans Nucl Sci (TN) 60(3):1767

    Article  Google Scholar 

  10. Gill B, Seifert N, Zia V (2009) Comparison of alpha-particle and neutron-induced combinational and sequential logic error rates at the 32 nm technology node. International reliability physics symposium (IRPS), pp. 199–205

  11. Hatami S, Abrishami H, Pedram M (2008) Statistical timing analysis of flip-flops considering codependent setup and hold times. Proceedings of ACM Great Lakes symposium on VLSI (GLSVLSI), pp. 101–106

  12. Huang H-M, Wen CH-P (2013) Fast-yet-accurate statistical soft error rate analysis considering full-spectrum charge collection. IEEE Des Test of Computers 30(2):77–86

  13. Kuo Y-H, Peng H-K, Wen C H-P (2010) Accurate Statistical Soft Error Rate (SSER) analysis using a quasi-monte carlo framework with quality cell models. Proceedings of the International Symposium Quality Electron. Design (ISQED), pp. 831–838

  14. Maharrey JA et al (2013) Effect of device variants in 32 nm and 45 nm SOI on SET pulse distributions. IEEE Trans Nucl Sci (TNS) 60(6):2586–2594

    Google Scholar 

  15. Mahatme NN et al (2011) Comparison of combinational and sequential error rates for a deep submicron process. IEEE Trans Nucl Sci (TNS) 58(6):2719–25

    Article  Google Scholar 

  16. Mahatme NN et al (2014) Impact of technology scaling on the combinational logic soft error rate. Proceedings of the International reliability physics symposium (IRPS) p. 5 F.2.1–5 F.2.6

  17. Miskov-Zivanov N, Wu K-C, Marculescu D (2008) Process variability-aware transient fault modeling and analysis. Proceedings of International Conference Computer Aided Design (ICCAD), pp. 685–690

  18. Mohyuddin N, Pakbaznia E, Pedram M (2008) Probabilistic error propagation in logic circuits using the boolean difference calculus. Proceedings of the International Conference on Computer Design (ICCD), pp. 7–13

  19. Nadarajah S, Kotz S (2008) Exact distribution of the max/min of two gaussian random variables. IEEE Trans Very Large Scale Integr Syst (TVLSI) 16(2):210–212

    Article  Google Scholar 

  20. Nangate Inc (2009) Nangate 45 nm open library. Sunnyvale, CA [Online]. Available: http://www.nangate.com/

  21. Narasimham B et al (2007) Characterization of Digital Single Event Transient Pulse-Widths in 130-nm and 90-nm CMOS Technologies. IEEE Transactions on Nuclear Science (TNS). 54(6)

  22. Papoulis A, Pillai SU (2002) Probability, random variables, and stochastic processes. Tata McGraw-Hill Education

  23. Parameters of Low Power SoC Design (2003) [Online]. Available: http://strj-jeita.elisasp.net/pdf-nenjihoukoku-0303-roadmap/3-13_setsukei_task_force.pdf

  24. Peng HK, Wen C. H.-P, Bhadra J (2009) On soft error rate analysis of scaled CMOS designs: a statistical perspective. Proceedings of the International Conference Computer Aided Design (ICCAD), pp. 157–163

  25. Raji M, Pedram H, Ghavami B (2015) A practical metric for soft error vulnerability analysis of combinational circuits. Microelectron Reliab 55(2):448–460

    Article  Google Scholar 

  26. Raji M, Pedram H, Ghavami B (2015) Soft error rate estimation of combinational circuits based on vulnerability analysis. IET Comput Digit Tech 9(6):311–320

    Article  Google Scholar 

  27. Ramakrishnan K, Rajaraman R, Suresh S, Nijaykrishnan N, Xie Y, Irwin MJ (2006) Variation Impacts on SER of Combinational Circuits. Proceedings of the International Symposium Quality Electronic Design (ISQED), pp. 755–760

  28. Rossi D, Cazeaux JM, Omana M, Metra C, Chatterjee A (2009) Accurate linear model for SET critical charge estimation. IEEE Trans Very Large Scale Integr (VLSI) Syst 17(8):1161–1166

  29. Shazli SZ, Abdul-Aziz M, Tahoori MB, Kaeli DR (2008) A field analysis of system-level effects of soft errors occurring in microprocessors used in information systems. Proceedings of the International Test Conference (ITC), pp. 24.3.1–24.3.10

  30. Shivakumar P, Kistler M, Keckler S, Burger D, Alvisi L (2002) Modeling the effect of technology trends on the soft error rate of combinational logic. Dependable Systems and Networks (DSN), pp. 389–398

  31. Wang F, Agrawal VD (2008) Soft Error Rate Determination for Nanometer CMOS VLSI Circuits. Proceedings of the 40th IEEE Southeastern Symposium on System Theory (SSST), pp. 324–328

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohsen Raji.

Additional information

Responsible Editor: C. Metra

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Raji, M., Ghavami, B. A Fast Statistical Soft Error Rate Estimation Method for Nano-scale Combinational Circuits. J Electron Test 32, 291–305 (2016). https://doi.org/10.1007/s10836-016-5583-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-016-5583-3

Keywords

Navigation