Skip to main content
Log in

An Effective Power-Aware At-Speed Test Methodology for IP Qualification and Characterization

Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Advanced nanometer technologies have led to a drastic increase in operational frequencies resulting in the performance of circuits becoming increasingly vulnerable to timing variations. The increasing process spread in advanced nanometer nodes poses considerable challenges in predicting post-fabrication silicon performance from timing models. Thus, there is a great need to qualify basic building structures on silicon in terms of critical parameters before they could be integrated within a complex System-on-Chip (SoC). The work of this paper presents a configurable circuit and an associated power-aware at-speed test methodology for the purpose of qualifying basic standard cells and complex IP structures to detect the presence of timing faults. Our design has been embedded within test-chips used for the development of the 28 nm Fully Depleted Silicon On Insulator (FD-SOI) technology node. The relevant silicon results and analysis validate the proposed power-aware test methodology for qualification and characterization of IPs and provide deeper insights for process improvements.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

References

  1. Ahmed N, Ravikumar CP, Tehranipoor M, Plusquellic J (2005) At-speed transition fault testing with low speed scan enable. Proc. IEEE VLSI Test Symposium, pp 42–47

  2. Bahl S et al. (2011) State of the art low capture power methodology. Proc. IEEE International Test Conference, pp 1–10

  3. Bahukudumbi S, Chakrabarty K (2008) Power Management for Wafer-Level Test During Burn-In, Proc. IEEE Asian Test Symposium, pp 231–236

  4. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores. Proc. IEEE Asian Test Symposium, pp 253–258

  5. Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture, Proc. ACM Design Automation Conference, pp 338–342

  6. Brand HJ, Rulke S, Radetzki M (2004) IPQ: IP qualification for efficient system design. Proc. IEEE International Symposium on Quality Electronic Design, pp 478–482

  7. Bushnell ML, Agrawal VD (2000) Essentials of electronic testing. Kluwer Academic Publishers, Boston

    Google Scholar 

  8. Chakravadhanula K, Chickermane V, Keller B, Gallagher P, Narang P (2009) Capture power reduction using clock gating aware test generation. Proc. IEEE International Test Conference, pp 1–9

  9. Cheng KT, Dey S, Rodgers M, Roy K (2000) Test challenges for deep sub-micron technologies. Proc. ACM Design Automation Conference, pp 142–149

  10. Crouch A (1999) Design-for-Test for Digital IC's and Embedded Core Systems. Prentice Hall

  11. Dabholkar V, Chakravarty S, Pomeranz I, Reddy S (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans Comput Aided Des Integr Circuits Syst 17(12):1325–1333

    Article  Google Scholar 

  12. Girard P (2002) Survey of low-power testing of VLSI circuits. IEEE Des Test Comput 19(3):80–90

    Article  MathSciNet  Google Scholar 

  13. Girard P, Guiller L, Landrault C, Pravossoudovitch S, Wunderlich, HJ (2001) A modified clock scheme for a low power BIST test pattern generator, Proc. IEEE VLSI Test Symposium, pp 306–311

  14. Girard P, Nicolici N, Wen X (editors) (2009) Power-Aware Testing and Test Strategies for Low Power Devices, Springer

  15. Hsiao MS, Rudnick EM, Patel JH (2000) Peak power estimation of VLSI circuits: new peak power measures. IEEE Trans Very Large Scale Integr VLSI Syst 8(4):435–439

    Article  Google Scholar 

  16. Iyengar V et al. (2007) Variation-aware performance verification using at-speed structural test and statistical timing. Proc. IEEE/ACM International Conference on Computer-Aided Design, 2007, San Jose, pp 405–412

  17. Lin X et al (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput 20(5):17–25

    Article  Google Scholar 

  18. Multari R, Vollertsen RP, Reece V (2000) Burn-in discussion group minutes," IEEE International Integrated Reliability Workshop Final Report, pp 123–124

  19. Nicolici N, Wen X (2007) Embedded Tutorial on Low Power Test. Proc. 12th IEEE European Test Symposium, pp 202–210

  20. Nigh P et al. (1998) Failure analysis of timing and IDDq-only failures from the SEMATECH test methods experiment. Proc. IEEE International Test Conference, pp 43–52

  21. Onodera H (2008) Variability modeling and impact on design. Proc. IEEE International Electron Devices Meeting, pp 1–4

  22. Planes N et al. (2012) 28 nm FDSOI technology platform for high-speed low-voltage digital applications. Proc. IEEE Symposium on VLSI Technology (VLSIT), pp 133–134

  23. Ravi S (2007) Power-aware test: Challenges and solutions. Proc. IEEE International Test Conference, pp 1–10

  24. Reda S (2011) Thermal and Power Characterization of Real Computing Devices. IEEE J Emerging Sel Top Circuits Syst 1(2):76–87

    Article  Google Scholar 

  25. Saxena J et al. (2003) A case study of ir-drop in structured at-speed testing. Proc. IEEE International Test Conference, pp 1098–1104

  26. Tendolkar NN (1985) Analysis of Timing Failures Due to Random AC Defects in VLSI Modules. Proc. ACM Design Automation Conference, pp 709–714

  27. Tendolkar N et al. (2006) Improving Transition Fault Test Pattern Quality through At-Speed Diagnosis. Proc. IEEE International Test Conference, pp 1–9

  28. Vorg A, Radetzki M, Rosenstiel W (2004) Measurement of IP qualification costs and benefits. Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 996–1001

  29. Vorisek V, Koch T, Fischer H (2004) At-speed testing of SOC ICs, Proc. IEEE/ACM Design, Automation and Test in Europe Conference, pp 120–125

  30. Waicukauski JA, Lindbloom E, Rosen BK, Iyengar VS (1987) Transition Fault Simulation. IEEE Des Test Comput 4(2):32–38

    Article  Google Scholar 

  31. Wang S, Gupta SK (1997) DS-LFSR: a new BIST TPG for low heat dissipation. Proc. IEEE International Test Conference, pp 848–857

  32. Wang LW, Luo HW (2011) Quality and reliability of digital soft IP core and a qualification framework. Proc. IEEE International Conference on Quality, Reliability, Risk, Maintenance, and Safety Engineering (ICQR2MSE), pp 804–808

  33. Wang LC, Liou J-J, Cheng K-T (2004) Critical path selection for delay fault testing based upon a statistical timing model. IEEE Trans Comput Aided Des Integr Circuits Syst 23(11):1550–1565

  34. Whetsel L (2000) Adapting scan architectures for low power operation. Proc. IEEE International Test Conference, pp 863–872

  35. Wu S et al. (2010) Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains, Proc. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp 358–366

  36. Yilmaz M, Chakrabarty K, Tehranipoor M (2008) Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Proc. IEEE VLSI Test Symposium, pp 233–239

  37. Yoshida T, Watati M (2003) A new approach for low-power scan testing. Proc. IEEE International Test Conference, pp 480–487

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kapil Juneja.

Additional information

Responsible Editor: N. Nicolici

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Juneja, K., Patel, D.A., Immadi, R.K. et al. An Effective Power-Aware At-Speed Test Methodology for IP Qualification and Characterization. J Electron Test 32, 721–733 (2016). https://doi.org/10.1007/s10836-016-5621-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-016-5621-1

Keywords

Navigation