Skip to main content
Log in

Instruction-Vulnerability-Factor-Based Reliability Analysis Model for Program Memory

Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Bit faults induced by single-event upsets in instruction may not cause a system to experience an error. The instruction vulnerability factor (IVF) is first defined to quantify the effect of non-effective upsets on program reliability in this paper; and the mean time to failure (MTTF) model of program memory is then derived based on IVF. Further analysis of MTTF model concludes that the MTTF of program memory using error correcting code (ECC) and scrubbing is not always better than unhardened program memory. The constraints that should be met upon utilizing ECC and scrubbing in program memory are presented for the first time, to the best of authors’ knowledge. Additionally, the proposed models and conclusions are validated by Monte Carlo simulations in MATLAB. These results show that the proposed models have a good accuracy and their margin of error is less than 3 % compared with MATLAB simulation results. It should be highlighted that our conclusions may be used to contribute to selecting the optimal fault-tolerant technique to harden the program memory.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

References

  1. (1998) The SPARC Architecture Manual Version 8. SPARC International Inc., Campbell, pp. 141–143

  2. (2004) Distributed processor memory module and method, by Klein D. A. and Kirsch G. Patent Number 6,785,780

  3. Allen GR, Edmonds L, Tseng CW, Swift G, Carmichael C (2010) Single-event upset (SEU) results of embedded error detect and correctenabled block random access memory (BlockRAM) within theXilinx XQR5VFX130. IEEE Trans Nucl Sci 57(6):3426–3431, pt. 1

    Google Scholar 

  4. Asadi G-H, Sridharan V, Tahoori M, Kaeli D (2005) Balancing performanceand reliability in the memory hierarchy. In: Proc. IEEE Int. Symp. Performance Analysis of Systems and Software (ISPASS ‘05)

  5. Baeg S, Wen S, Wong R (2009) SRAM interleaving distance selectionwith a soft error failure model. IEEE Trans Nucl Sci 56(4):2111–2118

    Article  Google Scholar 

  6. Baeg S, Wen S, Wong R (2010) Minimizing soft errors in TCAM devices: a probabilistic approach to determining scrubbing intervals. IEEE Trans Circuits Syst I Regul Pap 57(4):814–822

    Article  MathSciNet  Google Scholar 

  7. Bajura MA, Boulghassoul Y, Naseer R, DasGupta S, Witulski AF, Sondeen J, Stansberry SD, Draper JT, Massengill LW, Damoulakis JN (2007) Models and algorithmic limits for an ECC-based approachto hardening sub-100-nm SRAMs. IEEE Trans Nucl Sci 54(4):935–945

    Article  Google Scholar 

  8. Baranwal D, Singh D, Soyeb K, Rout SS, Deb S (2015) Reliability enhancement of SoCs based on dynamic memory access profiling in conjunction with PVT monitoring. In: Proc. 28th Int’l Conf. VLSI Design (VLSID), Bangalore, pp. 541–546

  9. Baumann RC (2005) Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans Device Mater Reliab 5(3):305–316

    Article  MathSciNet  Google Scholar 

  10. Dodd PE, Massengill LW (2003) Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Trans Nucl Sci 50(3):583–602

    Article  Google Scholar 

  11. Feng HL, Bao LJ (2012) Stochastic process: computing and application. Xi Dian University, Xi’an, pp 183–200

    Google Scholar 

  12. Fu X, Li T, Fortes J (2006) Sim-soda: a unified framework for architectural level software reliability analysis. Workshop on modeling, benchmarking and simulation

  13. Goodman RM, Sayano M (1991) The reliability of semiconductor RAM memories with on-chip error-correction coding. IEEE Trans Inf Theory 37(3):884–896

    Article  Google Scholar 

  14. Guo J, Xiao L, Mao Z, Zhao Q (2014) Enhanced memory reliability against multiple cell upsets using decimal matrix code. IEEE Trans Very Large Syst Integr 22(1):127–135

    Article  Google Scholar 

  15. Hamacher C, Vranesic Z, Zaky S, Manjikian N (2012) Computer organization and embedded systems. McGraw Hill, New York, pp 110–136

    Google Scholar 

  16. Haraszti TP (2000) CMOS memory circuits. Kluwer, Boston, pp 438–468

    Google Scholar 

  17. Hareland S, Maiz J, Alavi M, Mistry K, Walsta S, Dai C (2001) Impact of CMOS process scaling and SOI on soft error rates of logic processors. In: Proc. Symp. VLSI Tech., pp. 73–74

  18. Islam MM, Karunakaran NM, Haraldsson J, Barmin F, Karlsson J (2014) Binary-level fault injection for AUTOSAR systems, Proc. 10th European Dependable Computing Conference (EDCC), pp 138–141

  19. Karnik T et al (2001) Scaling trends of cosmic rays induced soft errors instatic latches beyond 0.18 _m. In: Proc. 2001 Symp. VLSI Circuits Dig. Tech. Papers, Kyoto, Japan, June 14–16, Tech. Papers, pp 61–62

  20. Li X, Adve SV, Bose P, Rivers JA (2008) Online estimation ofarchitectural vulnerability factor for soft errors. In: Proc. Int. Symp. Comput. Archit. (ISCA), pp. 341–352.

  21. Li Y, Nelson B, Wirthlin M (2013) Reliability models for SEC/DED memory with scrubbing in FPGA-based designs. IEEE Trans Nucl Sci 60(4):2720–2727

    Article  Google Scholar 

  22. Lin S, Kim YB, Lombardi F (2009) A novel design technique forsoft error hardening of a nanoscale CMOS memory. In: Proc. IEEEMWSCAS, pp. 679–682

  23. Maestro JA, Reviriego P (2009) Reliability of single-error correction protected memories. IEEE Trans Reliab 58(1):193–201

    Article  Google Scholar 

  24. Mansour W, Velazco R (2013) SEU fault-injection in VHDL-based processors: a case study. J Electron Testing: Theory and Applications 29(1):87–94

    Article  Google Scholar 

  25. Mavis D et al (2008) Multiple bit upsets and error mitigation in ultra-deep submicron SRAMs. IEEE Trans Nucl Sci 55:3288–3294

    Article  Google Scholar 

  26. Metra C et al (2008) Function-inherent code checking: a new low cost on-line testing approach for high performance microprocessor control logic. 2008 13th European Test Symposium. IEEE

  27. Ming Z, Yi XL, Chang L, Wei ZJ (2011) Reliability of memories protected by multibit error correction codes against MBUs. IEEE Trans Nucl Sci 58(1):289–295

    Article  Google Scholar 

  28. Mukherjee SS, Emer J, Fossum T, Reinhardt SK (2004) Cache scrubbing in microprocessors: myth or necessity. In: Proc. 10th Int’l Symp. Pacific Rim Dependable Computing

  29. Mukherjee S, Weaver C, Emer J, Reinhardt S, Austin T (2003) A systematicmethodology to compute the architectural vulnerability factorsfor a high-performance microprocessor. In: Proc. Int. Symp. Microarch. (MICRO), pp. 29–40

  30. Mukherjee SS et al (2003) A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society

  31. Nair AA, Eyerman S, Eeckhout L, John LK (2012) A first-ordermechanistic model for architectural vulnerability factor. In: Proc. Int. Symp. Comput. Archit. (ISCA), pp. 273–284

  32. Naseer R, Draper J (2008) DEC ECC design to improve memory reliabilityin Sub-100 nm technologies. In: Proc. IEEE ICECS, pp. 586–589

  33. Normand E (1996) Single event upset at ground level. IEEE Trans Nucl Sci 43:2742–2750

    Article  Google Scholar 

  34. Reviriego P, Argyrides C, Maestro JA, Pradhan DK (2011) Improving memory reliability against soft errors using block parity. IEEE Trans Nucl Sci 58(3):981–986

    Article  Google Scholar 

  35. Reviriego P, Flanagan MF, Liu SF, Maestro JA (2012) Multiple cell upset correction in memories using difference set codes. IEEE Trans Circuits Syst I Regul Pap 59(11):2592–2599

    Article  MathSciNet  Google Scholar 

  36. Reviriego P, Maestro JA, Cervantes C (2007) Reliability analysis ofmemories suffering multiple bit upsets. IEEE Trans Device Mater Reliab 7(4):592–601

    Article  Google Scholar 

  37. Sahinoglu M (1992) Compound-poisson software reliability model. IEEE Trans Softw Eng 18(7):624–630

    Article  Google Scholar 

  38. Saleh AM, Serrano JJ, Patel JH (1990) Reliability of scrubbingrecovery techniques for memory systems. IEEE Trans Reliab 39(1):114–122

    Article  MATH  Google Scholar 

  39. Sangchoolie B et al (2015) A comparison of inject-on-read and inject-on-write in ISA-level fault injection. Dependable Computing Conference (EDCC), 2015 Eleventh European. IEEE

Download references

Acknowledgments

This research work is supported by National High-Tech Research and Development Program of China (No. 2010ZX01XXX, No. 2009ZX01XXX) and the China Scholarship Council. We greatly appreciate the research platform of University of Saskatchewan and Xi’an Microelectronics Technology Institute. This project is in part supported by NSFC under contract No. 61504038.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Longsheng Wu.

Additional information

Responsible Editor: C. Metra

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Chen, Q., Chen, L., Wang, H. et al. Instruction-Vulnerability-Factor-Based Reliability Analysis Model for Program Memory. J Electron Test 32, 695–703 (2016). https://doi.org/10.1007/s10836-016-5624-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-016-5624-y

Keywords

Navigation