Skip to main content
Log in

New Methodology for Complete Properties Extraction from Simulation Traces Guided with Static Analysis

Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper introduces a new methodology for digital design properties extraction from simulation traces. A new Breadth-First Decision Tree (BF-DT) mining algorithm is proposed for complete properties extraction from simulation traces. The new mining engine supports both bit-level and word-level values of different design variables. Static analysis technique is implemented to extract all data dependencies between the digital design variables. New traces regeneration algorithm is proposed to obtain reduced traces for more efficient and easier mining. The mining engine is guided with these data dependencies to extract complete design properties relating target variables desired to extract properties for and their cone of interest feature variables. The contributed mining technique has been tested for different designs with different sizes. The design properties generated from the mining engine completely match with all design properties covered in the input simulation traces. Moreover, the generated properties are at the highest possible level of abstraction leading to the best coverage for the input data space. The simulation results show that the proposed methodology has superior efficiency in extracting both bit-level and word-level complete assertions of digital design in both superior quality and feasible time.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1.
Fig. 2
Fig. 3
Fig. 4

References

  1. Boulé M, Zilic Z (2008) Automata-based assertion-checker synthesis of PSL properties. ACM Trans Des Autom Electron Syst 13(1):1–21

    Article  Google Scholar 

  2. Chen KC (2003) Assertion-based verification for SoC designs, IEEE 5th International Conference on ASIC, Beijing, China, pp.12–15, 21–24

  3. El Mandouh E, Wassal AG (2012) Automatic Generation of Hardware Design Properties from Simulation Traces, IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2317–2320, 20–23

  4. Foster H (2009) Applied assertion-based verification: an industry perspective. Found Trends Elec Design Auto 3(I):1–95

    Google Scholar 

  5. Foster H, Lacey D, Krolnik A (2003) Assertion-based design. Kluwer Academic Publishers, Norwell

    Book  Google Scholar 

  6. Hanafy M, Said H, Wahba AM (2015) Complete Properties Extraction from Simulation Traces for Assertions Auto-Generation, IEEE 24th North Atlantic Test Workshop (NATW 2015), pp. 1–6, 11–13

  7. Hangal S, Chandra N, Narayanan S, Chakravorty S (2005) Iodine: a tool to automatically infer dynamic invariants for hardware designs, 42nd annual Design Automation Conference (DAC ’05), New York, USA, pp. 775–778

  8. Hertz S, Sheridan D, Vasudevan S (2013) Mining hardware assertions with guidance from static analysis. IEEE Trans Comput-Aided Design Integr Circuits Syst 32:952–965

    Article  Google Scholar 

  9. IEEE Std (1800–2009) IEEE Standard for SystemVerilog – Unified Hardware Design, Specification, and Verification Language. Institute of Electrical and Electronic Engineers, New York

  10. IEEE Std (1850–2010) IEEE Standard for Property Specification Language (PSL). Institute of Electrical and Electronic Engineers, New York

  11. IEEE Std. 1800.2 (2015) IEEE Standard for Universal Verification Methodology Language Reference Manual. Institute of Electrical and Electronic Engineers, New York

  12. ITRS (2013) International techoology roadmap for semiconductors report

  13. Kayed M, Abdelsalam M, Guindi R (2014) A Novel Approach for SVA Generation of DDR Memory Protocols Based on TDML, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16

  14. Liu L, Sheridan D, Athavale V, Vasudevan S (2011) Automatic Generation of Assertions from System Level Design Using Data Mining, 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE), pp. 191–200

  15. Liu L, Lin C, Vasudevan S (2012) Word Level Feature Discovery to Enhance Quality of Assertion Mining, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 210–217

  16. Morris Mano M Digital Design, Third Edition, 2002, Prentice-Hall

  17. Mostafa M, Safar M, El-Kharashi MW, Dessouky M (2014) SystemVerilog Assertion Debugging based on Visualization, Simulation Results and Mutation, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16

  18. Opencores benchmarks http://opencores.org

  19. Questa® Advanced Simulator http://www.mentor.com/products/fv/ questa/

  20. Sheridan D, Liu L, Kim H, Vasudevan S (2014) A Coverage Guided Mining Approach for Automatic Generation of Succinct Assertions, 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems, pp. 68–73

  21. Tong JG, Sarraf D, Boulé M, Zilic Z (2011) Generating Compact Assertions for Control-Based Logic Signals, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, pp. 1–4

  22. Vasudevan S, Sheridan D, Patel S, Tcheng D, Tuohy B, Johnson D (2010) GoldMine: Automatic Assertion Generation Using Data Mining and Static Analysis, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 626–629

  23. Zaki M, Tahar S (2003) Syntax code analysis and generation for Verilog. IEEE CCECE 2003, Can Conf Electr Comput Eng 1:235–240 4–7

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed Hanafy.

Additional information

Responsible Editor: K. Chakravadhanula

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Hanafy, M., Said, H. & Wahba, A.M. New Methodology for Complete Properties Extraction from Simulation Traces Guided with Static Analysis. J Electron Test 32, 705–719 (2016). https://doi.org/10.1007/s10836-016-5626-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-016-5626-9

Keywords

Navigation