Skip to main content
Log in

A New BIST-based Test Approach with the Fault Location Capability for Communication Channels in Network-on-Chip

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

In this paper, a new BIST based test approach to detecting short faults on the communication channels data links in network-on-chip is proposed. The rationale underlying the novelty of the proposed approach is that it is capable of locating the faulty channels while simultaneously performing the testing as well as updating the Routing Tables (RT) in which irregular Mesh-based and fault tolerant NoCs that are using Table-based routing. The proposed approach encompasses TPG and TRA located in the Network Adapter (NA) as well as a Packet Comparing Module (PCM) embedded in the routers. The approach, in addition, with a high scalability leads to 100% Test Coverage (TC) and 82.3% capability of diagnosing faulty channels in NoCs with a high scale. Furthermore, the approach is capable of being performed within one Round (two phase) run with a total time of 70 clocks which is considered as cost-effective compared with the preceding methods. The simulation results demonstrate that the hardware cost of PCM is trivial compared with the hardware of RASoC, HERMES, Æthereal and Vici routers.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Agarwal A, Iskander C, Shankar R (2009) Survey of network on chip (NoC) architectures & contributions. Journal of Engineering, Computing and Architecture 3(1):21–27

  2. Aghaei B, Babaei S (2009) The new test wrapper design for core testing in packet-switched micro-network on chip. In: Proceedings 2nd IEEE International Conference on Power Electronics and Intelligent Transportation System, pp 19-20

  3. Aghaei B, Khademzadeh A, Reshadi M, Badie K (2017) Link testing: a survey of current trends in network on chip. J Electron Test 33(2):209–225

  4. Alaghi A, Karimi N, Sedghi M, Navabi Z (2007) Online NoC switch fault detection and diagnosis using a high level fault model. In: Proc. 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT), pp 21-29

  5. Alamian SS, Fallahzadeh R, Hessabi S, Alirezaie J (2013) A novel test strategy and fault-tolerant routing algorithm for NoC routers. In: Proc. 17th IEEE CSI international Symposium on Computer Architecture & Digital Systems (CADS), pp 133-136

  6. Amory AM, Brião E, Cota É, Lubaszewski M, Moraes FG (2005) A scalable test strategy for network-on-chip routers. In: Proc. IEEE International Test Conference (ITC), pp 9-599

  7. Amory AM, Goossens K, Marinissen EJ, Lubaszewski M, Moraes F (2007) Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism. Comp Digit Tech, IET 1(3):197–206

    Article  Google Scholar 

  8. Babaei S, Mansouri M, Aghaei B, Khadem-Zadeh A (2011) Online-structural testing of routers in network on chip. World Appl Sci J 14(9):1374–1383

  9. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. Computer 35(1):70–78

    Article  Google Scholar 

  10. Bhowmik B, Deka JK, Biswas S (2015) An odd-even model for diagnosis of shorts on NoC interconnects. In: Proc. Annual IEEE India Conference (INDICON), pp 1-6

  11. Bhowmik B, Biswas S, Deka JK (2016) Impact of NoC interconnect shorts on performance metrics. In: Proc. 22nd IEEE National Conference on Communication (NCC), pp 16

  12. Bhowmik B, Deka JK, Biswas S (2016) An on-line test solution for addressing interconnect shorts in on-chip networks. In: Proc. 22nd IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), pp 9-12

  13. Borkar S (2007) Thousand core chips: a technology perspective. In: Proceedings 44th ACM Annual Design Automation Conference, pp 746-749

  14. Chen G (2013) SPAcENoCs: a scalable platform for FPGA accelerated emulator of NoCs. Texas A&M University

  15. Cheng AC (2002) Comprehensive study on designing memory BIST: algorithms, implementations and trade-offs. Ann Arbor 1001:48109–42122

    Google Scholar 

  16. Concatto C, Almeida P, Kastensmidt F, Cota E, Lubaszewski M, Herve M (2009) Improving yield of torus NoCs through fault-diagnosis-and-repair of interconnect faults. In: Proc. 15th IEEE International On-Line Testing Symposium, pp 61-66

  17. Cota É, Carro L, Lubaszewski M (2004) Reusing an on-chip network for the test of core-based systems. ACM Trans Des Autom Electron Syst 9(4):471–499

    Article  Google Scholar 

  18. Cota E, Kastensmidt FL, Cassel M, Hervé M, Almeida P, Meirelles P, Amory A, Lubaszewski M (2008) A high-fault-coverage approach for the test of data, control, and handshake interconnects in mesh networks-on-chip. IEEE Trans Comput 57(9):1202–1215

  19. Cota É, de Morais AA, Lubaszewski MS (2012) Test and diagnosis of routers. In: Reliability, Availability and Serviceability of Networks-on-Chip. Springer, pp 115–132

  20. Cuviello M, Dey S, Bai X, Zhao Y (1999) Fault modeling and simulation for crosstalk in system-on-chip interconnects. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp 297–303

  21. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceeding IEEE Design Automation Conference, pp 684–689

  22. Dally WJ, Towles BP (2004) Principles and practices of interconnection networks. Elsevier, Amsterdam (Imprint: Morgan Kaufmann)

  23. Fick D, DeOrio A, Hu J, Bertacco V, Blaauw D, Sylvester D (2009) Vicis: a reliable network for unreliable silicon. In: Proceeding 46th ACM Annual Design Automation Conference, pp 812-817

  24. Ghofrani A, Parikh R, Shamshiri S, DeOrio A, Cheng K-T, Bertacco V (2012) Comprehensive online defect diagnosis in on-chip networks. In: Proc. 30th IEEE VLSI Test Symposium, pp 44–49

  25. Goossens K, Dielissen J, Radulescu A (2005) Æthereal network on chip: concepts, architectures, and implementations. IEEE Design Test Comput 22(5):414–421

    Article  Google Scholar 

  26. Grecu C, Pande P, Ivanov A, Saleh R (2006) BIST for network-on-chip interconnect infrastructures. In: Proc. 24th IEEE VLSI Test Symposium, pp 30-35

  27. Han T, Choi I, Oh H, Kang S (2014) A scalable and parallel test access strategy for NoC-based multicore system. In: 23rd IEEE Asian Test Symposium (ATS), pp 81-86

  28. Hassan A, Rajski J, Agarwal VK (1988) Testing and diagnosis of interconnects using boundary scan architecture. In: Proceeding IEEE International Test Conference, New Frontiers in Testing, pp 126–137

  29. Henkel J, Wolf W, Chakradhar S (2004) On-chip networks: a scalable, communication-centric embedded system design paradigm. In: Proceeding 17th IEEE International Conference on VLSI Design, pp 845-851

  30. Herve M, Cota E, Kastensmidt FL, Lubaszewski M (2009) Diagnosis of interconnect shorts in mesh NoCs. In: Proc. 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp 256-265

  31. Hervé M, Almeida P, Kastensmidt FL, Cota E, Lubaszewski M (2010) Concurrent test of network-on-chip interconnects and routers. In: Proc. 11th Latin American Test Workshop

  32. Holsmark R, Kumar S (2005) Design issues and performance evaluation of mesh NoC with regions. In: Proc IEEE NORCHIP, pp 40–43

  33. Hosseinabady M, Dalirsani A, Navabi Z (2007) Using the inter-and intra-switch regularity in NoC switch testing. In: Proc. European Conference on Design, Automation and Test and EDA Consortium, pp 361–366

  34. Kakoee MR, Bertacco V, Benini L (2011) A distributed and topology-agnostic approach for on-line NoC testing. In: Proc. Fifth ACM/IEEE International Symposium on Networks-on-Chip, pp 113–120

  35. Kakoee MR, Bertacco V, Benini L (2014) At-speed distributed functional testing to detect logic and delay faults in NoCs. IEEE Trans Comput 63(3):703–717

    Article  MathSciNet  MATH  Google Scholar 

  36. Kautz WH (1974) Testing for faults in wiring networks. IEEE Trans Comput 100(4):358–363

    Article  MATH  Google Scholar 

  37. Kim J-S, Hwang M-S, Roh S, Lee J-Y, Lee K, Lee S-J, Yoo H-J (2004) On-chip network based embedded core testing. In: Proceeding IEEE International SoC Conference, pp 223–226

  38. Krstic A, Cheng K-T (1998) Delay fault testing for VLSI circuits, Springer Science & Business Media

  39. Lien J-C, Breuer MA (1991) Maximal diagnosis for wiring networks. In: Proceeding International Test Conference, pp 96–105

  40. Moraes F, Calazans N, Mello A, Möller L, Ost L (2004) HERMES: an infrastructure for low area overhead packet-switching networks on chip. VLSI J Integ 38(1):69–93

    Article  Google Scholar 

  41. Nazari M, Zolfy Lighvan M, Daie Koozekonani Z, Sadeghi A (2016) A novel HW/SW based NoC router self-testing methodology. arXiv preprint arXiv:160904569

  42. Nazarian G (2008) On-line testing of routers in networks-on-chip. PhD thesis, Delft University of Technology

  43. Petersén K, Öberg J (2007) Toward a scalable test methodology for 2D–mesh network-on-chips. In: Proc. European Conference on Design, Automation and Test and EDA Consortium, pp 367–372

  44. Spartan XD (2013) 3E FPGA family data sheet. DS312 (July 19)

  45. Strano A, Gómez C, Ludovici D, Favalli M, Gómez ME, Bertozzi D (2011) Exploiting network-on-chip structural redundancy for a cooperative and scalable built-in self-test architecture. In: Proc. IEEE Design, Automation & Test in Europe, pp 1–6

  46. Stroud CE (2002) A Designer's guide to built-in self-test. Springer Science & Business Media

  47. Ubar R, Raik J (2003) Testing strategies for networks on chip. In: Networks on Chip. Springer, pp 131–152

  48. Vermeulen B, Dielissen J, Goossens K, Ciordas C (2003) Bringing communication networks on a chip: test and verification implications. IEEE Commun Mag 41(9):74–81

    Article  Google Scholar 

  49. Xiang D, Shen K (2016) A new unicast-based multicast scheme for network-on-chip router and interconnect testing. ACM Trans Des Autom Electron Syst 21(2):24

    Article  Google Scholar 

  50. Xiang D, Zhang Y (2011) Cost-effective power-aware core testing in NoCs based on a new unicast-based multicast scheme. IEEE Trans Compr-Aided Design Integ Circ Syst 30(1):135–147

    Article  Google Scholar 

  51. Xiang D, Chakrabarty K, Fujiwara H (2016) Multicast-based testing and thermal-aware test scheduling for 3D ICs with a stacked network-on-chip. IEEE Trans Comput 65(9):2767–2779

    Article  MathSciNet  MATH  Google Scholar 

  52. Xilinx I (2014) Design Suite version:14.4

  53. Ying Z, Ning W, Fen G, Xin C, Lei Z (2013) Novel Core test wrapper design supporting multi-mode testing of NoC-based SoC. Inter J Control Autom 6(5):247–262

    Article  Google Scholar 

  54. Zeferino CA, Kreutz ME, Carro L, Susin AA (2002) A study on communication issues for systems-on-chip. In: Proc. 15th IEEE Symposium on Integrated Circuits and Systems Design, pp 121-126

  55. Zeferino CA, Kreutz ME, Susin AA (2004) RASoC: a router soft-core for networks-on-chip. In: Proc. IEEE Design,, Automation and Test in Europe Conference, pp 198-203

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kambiz Badie.

Additional information

Responsible Editor: X. Li

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Aghaei, B., Khademzadeh, A., Reshadi, M. et al. A New BIST-based Test Approach with the Fault Location Capability for Communication Channels in Network-on-Chip. J Electron Test 33, 501–513 (2017). https://doi.org/10.1007/s10836-017-5666-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-017-5666-9

Keywords

Navigation