Skip to main content
Log in

Three-Stage Optimization of Pre-Bond Diagnosis of TSV Defects

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Pre-bond TSV testing and defect identification is important for yield assurance of 3D stacked devices. Building on a recently proposed pre-bond TSV probing procedure, this paper develops a three-stage optimization method named “SOS3” to greatly reduce TSV test time without losing the capability of identifying given number of faulty TSVs. The optimization stages are as follows. First, an integer linear programming (ILP) model generates a near-optimal set of test sessions for pre-bond defective TSV diagnosis. Second, an iterative greedy procedure sequences the application of those test sessions for quicker diagnosis. Third, a TSV defect identification algorithm terminates testing as quickly as possible, often before all sessions are applied. Extensive simulation experiments are done for various TSV networks and the results show that the SOS3 framework greatly speeds up the pre-bond TSV test.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Aoki M, Furuta F, Hozawa K, Hanaoka Y, Kikuchi H, Yanagisawa A, Mitsuhashi T, Takeda K (2013) Fabricating 3D integrated CMOS devices by using wafer stacking and via-last TSV technologies. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), pp 29.5.1–29.5.4

  2. Chen H, Shih J, Li SW, Lin HC, Wang M, Peng C (2010) Electrical tests for three-dimensional ICs (3DICs) with TSVs. In: Proceedings of IEEE International Workshop on Testing Three-Dimensional Stacked ICs (3D-TEST), pp 1–6

  3. Cho M, Liu C, Kim D, Lim S, Mukhopadhyay S (2010) Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system. In: Proceedings of International Conference on Computer-Aided Design (ICCAD), pp 694–697

  4. CPLEX Optimizer. avialable from https://www-01.ibm.com/software/commerce/optimization/cplex-optimizer/, accessed on July 27, 2017

  5. Deutsch S, Chakrabarty K (2013) Non-invasive pre-bond TSV Test using ring oscillators and multiple voltage levels. In: Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1065–1070

  6. Hsieh A, Hwang T, Chang M, Tsai M (2010) TSV Redundancy: architecture and design issues in 3D IC. In: Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 166–171

  7. Huang Y, Li J, Chen J, Kwai D, Chou Y, Wu C (2011) A built-in self-test scheme for the post-bond test of TSVs in 3D ICs. In: Proceedings of IEEE 29th VLSI Test Symposium (VTS), pp 20–25

  8. Ingelsson U, Goel SK, Larsson E, Marinissen EJ (2005) Test scheduling for modular SOCs in an Abort-on-Fail environment. In: Proceedings of European Test Symposium (ETS), pp 201–206

  9. Jiang L, Xu Q, Eklow B (2013) On effective through-silicon via repair for 3-D-Stacked Cs. IEEE Trans Comput Aided Des Integr Circuits Syst 32(2):559–571

    Article  Google Scholar 

  10. Jung M, Mitra J, Pan D, Lim S (2011) TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3D IC. In: Proceedings of 48th Design Automation Conference (DAC), pp 188–193

  11. Marinissen EJ, Chi CC, Verbree J, Konijnenburg M (2010) 3D DfT architecture for pre-bond and post-bond testing. In: Proceedings of IEEE International 3D Systems Integration Conference (3DIC), pp 1–8

  12. Marinissen EJ, Verbree J, Konijnenburg M (2010) A structured and scalable test access architecture for TSV-based 3D stacked ICs. In: Proceedings of IEEE 28th VLSI Test Symposium (VTS), pp 269–274

  13. Marinissen EJ, McLaurin T, Jiao H (2016) IEEE Std P1838: DfT Standard-Under-Development For 2.5D-, 3D-, and 5.5d-SICs. In: Proceedings of IEEE 21th European Test Symposium (ETS), pp 1–10

  14. Noia B, Chakrabarty K (2011) Identification of defective TSVs in pre-bond testing of 3D ICs. In: Proceedings of 20th Asian Test Symposium (ATS), pp 187–194

  15. Noia B, Chakrabarty K (2011) Pre-bond probing of TSVs in 3D stacked ICs. In: Proceedings of International Test Conference (ITC), pp 1–10

  16. Noia B, Chakrabarty K (2014) Design-for-Test and test optimization techniques for TSV-based 3D stacked ICs. Springer, Berlin

    Book  Google Scholar 

  17. Pan D, Lim S, Athikulwongse K, Jung M, Mitra J, Pak J, Pathak M, Yang J (2012) Design for manufacturability and reliability for TSV-Based 3D ICs. In: Proceedings of 17th Asia and South Pacific Design Automation Conference (ASP-DAC), pp 750–755

  18. PTM 45nm Model. available from http://ptm.asu.edu/, accessed on July 27, 2017

  19. Rajski J, Tyszer J (2013) Fault diagnosis of TSV-based interconnects in 3-D stacked designs. In: Proceedings of International Test Conference (ITC), pp 1–9

  20. Reda S, Smith G, Smith L (2009) Maximizing the functional yield of wafer-to-wafer 3-D integration. IEEE Trans Very Large Scale Integr VLSI Syst 17(9):1357–1362

    Article  Google Scholar 

  21. Roy SK, Chatterjee S, Giri C, Rahaman H (2013) Faulty TSVs identification and recovery in 3D stacked ICs during pre-bond testing. In: Proceedings of IEEE International 3D Systems Integration Conference (3DIC), pp 1–6

  22. Smith K, Hanaway P, Jolley M, Gleason R, Strid E (2011) Evaluation of TSV and micro-bump probing for wide I/O testing. In: Proceedings of International Test Conference (ITC), pp 1–10

  23. Stapper CH, Armstrong FM, Saji K (1983) Integrated circuit yield statistics. In: Proceedings of the IEEE, pp 453–470

  24. Taouil M, Hamdioui S, Verbree J, Marinissen EJ (2010) On maximizing the compound yield for 3D wafer-to-wafer stacked ICs. In: Proceedings of International Test Conference (ITC), pp 1–10

  25. Verbree J, Marinissen EJ, Roussel P, Velenis D (2010) On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking. In: Proceedings of 15th IEEE European Test Symposium (ETS), pp 36–41

  26. Woo DH, Seong NH, Lewis DL, Lee H-HS (2010) An optimized 3D-stacked memory architecture by exploiting excessive, High-Density TSV bandwidth. In: Proceedings of IEEE 16th International Symposium on High Performance Computer Architecture (HPCA), pp 1–12

  27. Yaglioglu O, Eldridge B (2012) Direct connection and testing of TSV and Microbump devices using nanopierce contactor for 3d-IC Integration. In: Proceedings of IEEE 30th VLSI Test Symposium (VTS), pp 96–101

  28. You J-W, Huang S-Y, Kwai D-M, Chou Y-F, Wu C-W (2010) Performance characterization of TSV in 3D IC via sensitivity analysis. In: Proceedings 19th Asian Test Symposium (ATS), pp 389–394

  29. Zhang B (2014) Pre-bond TSV test optimization and stacking yield improvement for 3D ICs. PhD thesis, Auburn University

  30. Zhang B, Agrawal VD (2014) A novel wafer manipulation method for yield improvement and cost reduction of 3D wafer-on-wafer stacked ICs. J Electronic Testing: Theory Appl 30(6):57–75

    Article  Google Scholar 

  31. Zhang B, Agrawal VD (2014) An optimal probing method of pre-bond TSV fault identification for 3D stacked ICs. In: Proceedings of IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), pp 1–3

  32. Zhang B, Agrawal VD (2014) An optimized diagnostic procedure for pre-bond TSV defects. In: Proceedings of the 32nd IEEE International Conference on Computer Design (ICCD), pp 189–194

  33. Zhang B, Agrawal VD (2015) Diagnostic tests for pre-bond TSV defects. In: Proceedings of the 28th International Conference on VLSI Design, pp 387–392

  34. Zhang B, Li B, Agrawal VD (2013) Yield analysis of a novel wafer manipulation method in 3D stacking. In: Proceedings of IEEE International 3D Systems Integration Conference (3DIC), pp 1–8

  35. Zhao Y, Khursheed S, Al-Hashimi BM (2011) Cost-effective TSV grouping for yield improvement of 3d-ICs. In: Proceedings of IEEE 20th Asian Test Symposium (ATS), pp 201–206

Download references

Acknowledgments

This research was supported in part by the National Science Foundation Grant CCF-1116213.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bei Zhang.

Additional information

Responsible Editor: K. K. Saluja

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, B., Agrawal, V.D. Three-Stage Optimization of Pre-Bond Diagnosis of TSV Defects. J Electron Test 33, 573–589 (2017). https://doi.org/10.1007/s10836-017-5681-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-017-5681-x

Keywords

Navigation