Skip to main content
Log in

A Reliability-Aware Methodology to Isolate Timing-Critical Paths under Aging

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Temporal unreliability due to aging, such as Negative-Bias Temperature Instability (NBTI) and Hot Carrier Injection (HCI) effects etc., in the CMOS circuits may not appear just after the chip production, instead it becomes apparent when it is used under certain workload and environmental conditions over time. Identifying aged paths that may become critical to circuit performance, is a real challenge for many researchers and reliability engineers. In this work, firstly we identify a set of parameters that impact the circuit performance under aging and use them in the proposed algorithm which is substantially faster than commercially available SPICE simulator with an approx 94% accuracy in estimating path delays. Secondly, we explore the possibility of using the proposed methodology, instead of using time expensive SPICE and pessimistic static timing analysis (STA), to identify a set of speed-limiting paths under aging. Experimental results demonstrate the effectiveness of the proposed algorithm and the associated methodology in comparison to SPICE simulated results.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Ahmadi M, Jafari R (2016) A novel technique to detect aging in analog/mixed-signal circuits. In: Proceedings of IEEE EWDTS

  2. Bahukudumbi S, Chakrabarty K (2009) Power Management Using Test-Pattern Ordering for Wafer-Level Test During Burn-In, IEEE Transactions on VLSI

  3. Bowman K (2001) A circuit-level perspective of Opportunities and Limitations for Gigascale Integration. Ph.D dissertation, Georgia Institute of Technology

  4. Cadence Spectre, https://www.cadence.com/content/cadence-www/global/en_US/home/tools/custom-ic-analog-rf-design/circuit-simulation/spectre-circuit-simulator.html, Accessed 22 October, 2017

  5. Cadence Design Systems, www.cadence.com/products, Accessed 12 July 2017

  6. Chadha R, Bhasker J (2009) Static timing analysis for nanometer designs, ISBN 978-0-387-93819-6. Springer, Berlin

    Google Scholar 

  7. Effective Current Source Model, https://www.cadence.com/content/cadence-www/global/en_US/home/alliances/standards-and-languages/ecsm-library-format.html, Accessed 22 October, 2017

  8. Groeseneken G, Crupi F, Shickova A, Thijs S, Linten D, Kaczer B, Collaert N, Jurczak M (2008) Reliability issues in muGFET nanodevices. In: Proceedings of IEEE IRPS

  9. Han S, Kim B, Kim J (2013) Variation-Aware Aging Analysis in Digital ICs, IEEE transaction on VLSI systems

  10. Jeppson KO, Svensson CM (1977) Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices, Journal of Applied Physics

  11. Jin Y, Makris Y (2008) Hardware Trojan detection using path delay fingerprint. In: Proceedings of IEEE International Workshop on Hardware-Oriented Security and Trust

  12. Kahng AB, Muddu S (1996) Efficient gate delay modeling for large interconnect loads. In: Proceedings of IEEE MCMC

  13. Kim S-Y (2005) Negative bias temperature instability (NBTI) of Bulk FinFETs. In: Proceedings of IEEE IRPS

  14. Klutke GA, Kiessler PC, Wortman MA (2003) A critical look at the bathtub curve. IEEE Tran Reliab 52:125–129

    Article  Google Scholar 

  15. Kufiuoglu H (2007) MOSFET Degradation Due to Negative Bias Temperature Instability (NBTI) and Hot Carrier Injection (HCI) and its Implications for Reliability-aware VLSI Design. PhD dissertation, Purdue University

  16. Kufiuoglu H, Ashraful Alam M (2004) A geometrical unification of the theories of NBTI and HCI time-exponents and its implications for ultra-scaled planar and surround-gate MOSFETs. In: Proceedings of IEEE IEDM Technical Digest

  17. Kurz D (2015) An advanced area scaling approach for semiconductor burn-in. Microelectron Reliab 55:129

    Article  Google Scholar 

  18. Kwasnick R (2012) Impact of technology scaling on HTOL. In: Proceedings of IEEE IRPS

  19. Lee J, Park I, McCluskey J (2008). In: Proceedings of IEEE VLSI Test Symposium, Error sequency analysis

  20. Li Y, Kim YM, Mintarno E, Gardner DS, Mitra S (2009) Overcoming early-life failure and aging for robust systems. In: Proceedings of IEEE Design and Test Computers

  21. Liao W-S (2008) Investigation of Reliability Characteristics in NMOS and PMOS fin-FETs IEEE Electronic Device Letters

  22. Liao W-S, Liaw Y-G, Tang M-C, Chakraborty S, Liu CW (2008) Investigation of Reliability Characteristics in NMOS and PMOS fin-FETs IEEE Electron Device Letter

  23. Lopez GG (2009) The impact of interconnect process variations and size effects for gigascale integration. Ph.D dissertation, Georgia Institute of Technology

  24. Ma C, Mattausch HJ, Miyake M, Iizuka T, Mattausch MM, Matsuzawa K, Yamaguchi S, Hoshida T, Imade M, Koh R, Arakawa T, He J (2013) Compact reliability model for degradation of advanced p-MOSFETs due to NBTI and hot-carrier effects in the circuit simulation. In: Proceedings of IEEE IRPS

  25. Magnone P, Crupi F, Wils N, Jain R, Tuinhout H, Andricciola P, Giusi G, Fiegna C (2011) Impact of Hot Carriers on nMOSFET Variability in 45- and 65-nm CMOS Technologies IEEE Transactions on Electronic Devices

  26. Mahmoud M, Soin N (2016) BTI Lifetime reliability of planar MOSFET versus finFET for 16 nm technology node. In: Proceedings of IEEE IPFA

  27. Maricau E, Gielen G (2013) Analog IC reliability in nanometer CMOS, Chapter 2. Springer, New York

    Book  Google Scholar 

  28. Nagel LW, Pederson DO (1973) SPICE (Simulation Program with Integrated Circuit Emphasis), Memorandum no. ERL-m382, University of California, Berkeley

  29. Park C, John JP, Klein K, Teplik J, Caravella J, Whitfield J, Papworth K, Cheng S (1995) Reversal of temperature dependence of integrated circuits operating at very low voltages. In: Proceedings of International Electronic Devices Mtg, pp 71–74

  30. Patel JH Can we save Energy if we allow Errors in Computing?, University of Illinois at Urbana-Champaign, Last Accessed 21 July: slideplayer.com/slide/9969908/

  31. Rabaey JM, Chandrakasan A, Nikolic B (2016) Digital Integrated Circuits: A design perspective, Chapter 9, ISBN-13: 978-9332573925 Pearson Education

  32. Srivastava A, Aggarwal A, Bakhshi R (2015) Effect of static stress in burn-in environment on yield of complex designs. In: Proceedings of IEEE Workshop on RTL and High Level Testing

  33. Srivastava A, Singh V, Singh A, Saluja K (2015) A methodology for identifying high timing variability paths in complex designs. In: Proceedings of IEEE Asian Test symposium

  34. Srivastava A, Singh V, Singh A, Saluja K (2016) Path-based approach to identify timing critical paths under aging. In: Proceedings of IEEE Workshop on RTL and High Level Testing

  35. Srivastava A, Singh V, Singh A, Saluja K (2017) Identifying high variability speed-limiting paths under aging. In: Proceedings of IEEE Latin American Test Symposium

  36. Tehranipoor M, Peng K, Chakrabarty K (2011) Test and Diagnosis for Small-Delay Defects, https://doi.org/10.1007/978-1-4419-8297-1 2 Springer Science+Business media

  37. Tehranipoor M, Salmani H, Zhang X (2014) Counterfeit ICs: Path-delay Fingerprinting, https://doi.org/10.1007/978-3-319-00816-5_11, Springer International Publishing, Switzerland

  38. Visweswariah C, Ravindran K, Kalafala K, Walker SG, Narayan S, Beece DK, Piaget J, Venkateswaran N, Hemmett JG (2006) First-order Incremental Block-Based Statistical Timing Analysis, IEEE Trans on Computer-Aided Design of ICs and Systems

  39. Wang Y, Cotofana S, Fang L (2011) A unified aging model of NBTI and HCI degradation towards lifetime reliability management for Nanoscale MOSFET circuits. In: Proceedings of IEEE/ACM NANOARCH

  40. Wolpert D, Ampadu P (2012) Managing temperature effects in nanoscale adaptive systems, https://doi.org/10.1007/978-1-4614-0748-5_2 Springer Science+Business media

  41. Zakaria MF, Kassim ZA, Ooi MP-L, Demidenko S (2006) Reducing burn-in time through high-voltage stress test and Weibull statistical analysis. In: Proceedings of IEEE Design and Test of Computers

  42. Zhang X, Xiao K, Tehranipoor M (2012) Path-delay fingerprinting for identification of recovered ICs. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ankush Srivastava.

Additional information

Responsible Editor: L. M. Bolzani Pöhls

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Srivastava, A., Singh, V., Singh, A.D. et al. A Reliability-Aware Methodology to Isolate Timing-Critical Paths under Aging. J Electron Test 33, 721–739 (2017). https://doi.org/10.1007/s10836-017-5692-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-017-5692-7

Keywords

Navigation