Skip to main content
Log in

NBTI and Power Reduction Using a Workload-Aware Supply Voltage Assignment Approach

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Supply voltage assignment (SVA) can alleviate the performance aging induced by the negative bias temperature instability (NBTI) effect. However, due to the random characteristic of an actual system workload, it is difficult to estimate the aging rate and control the supply voltage reasonably. To solve this problem, we present a workload-aware SVA method (WSVA) that encapsulates the workload change into the aging estimation using an LUT-based approach. Moreover, an NBTI and leakage co-optimization strategy based on an integer linear programming (ILP) approach is proposed to obtain the optimal input vector in standby mode. Simulation experiments on multiple benchmark circuits demonstrate that the LUT-based approach can track the dynamic change of the workload online and provide an accurate aging estimate for SVA with little computation cost. Compared with the SVA method without considering the workload, the proposed aging estimation approach and the optimal input vector selection strategy in the WSVA framework can enable the CMOS circuit conserve additional power dissipation while guaranteeing the performance requirements.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Agarwal M, Paul BC, Zhang M, Mitra S (2007) Circuit Failure Prediction and Its Application to Transistor Aging. Proc. 25th IEEE VLSI Test Symmposium, Berkeley, CA, USA, p 277–286

  2. Agarwal M, Balakrishnan V, Bhuyan A, Kim K, Paul BC, Wang W, Yang B, Cao Y, Mitra S (2008) Optimized Circuit Failure Prediction for Aging: Practicality and Promise. Proc. IEEE International Test Conference, Santa Clara, CA, USA, p 1–10. https://doi.org/10.1109/TEST.2008.4700619

  3. Bhardwaj S, Wang W, Vattikonda R, Cao Y, Vrudhula S (2006) Predictive Modeling of the NBTI Effect for Reliable Design. Proc. IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, p 189–192. https://doi.org/10.1109/CICC.2006.320885

  4. Bian S, Shintani M, Wang Z, Hiromoto M, Chattopadhyay A, Sato T (2016) Runtime NBTI Mitigation for Processor Lifespan Extension via Selective Node Control. Proc. IEEE 25th Asian Test Symposium (ATS), Hiroshima, Japan, p 234–239. https://doi.org/10.1109/ATS.2016.31

  5. Bowman KA, Austin BL, Eble JC, Xinghai T, Meindl JD (1999) A physical alpha-power law MOSFET model. IEEE J Solid State Circuits 34(10):1410–1414. https://doi.org/10.1109/4.792617

    Article  Google Scholar 

  6. Calimera A, Macii E, Poncino M (2009) NBTI-aware power gating for concurrent leakage and aging optimization. Proc. ACM/IEEE international symposium on Low power electronics and design, San Fancisco, CA, USA, p 127–132. https://doi.org/10.1145/1594233.1594264

  7. Chen X, Wang Y, Cao Y, Ma Y, Yang H (2012) Variation-aware supply voltage assignment for simultaneous power and aging optimization. IEEE Trans Very Large Scale Integr VLSI Syst 20(11):2143–2147. https://doi.org/10.1109/TVLSI.2011.2168433

    Article  Google Scholar 

  8. DeBole M, Ramakrishnan K, Balakrishnan V, Wang W, Luo H, Wang Y, Xie Y, Cao Y, Vijaykrishnan N (2009) A framework for estimating NBTI degradation of microarchitectural components. Proc. Asia and South Pacific Design Automation Conference, Yokohama, Japan, p 455–460

  9. Firouzi F, Kiamehr S, Tahoori MB (2011) A linear programming approach for minimum NBTI vector selection. Proc. 21st edition of the great lakes symposium on Great lakes symposium on VLSI, Lausanne, Switzerland, p 253–258. https://doi.org/10.1145/1973009.1973060

  10. Firouzi F, Kiamehr S, Tahoori MB (2013) Power-aware minimum NBTI vector selection using a linear programming approach. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):100–110. https://doi.org/10.1109/TCAD.2012.2211103

    Article  Google Scholar 

  11. Jin S, Han Y (2012) M-IVC: applying multiple input vectors to co-optimize aging and leakage. Microelectron J 43(11):838–847. https://doi.org/10.1016/j.mejo.2012.06.007

    Article  Google Scholar 

  12. Kumar SV, Kim CH, Sapatnekar SS (2011) Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits. IEEE Trans Very Large Scale Integr VLSI Syst 19(4):603–614. https://doi.org/10.1109/TVLSI.2009.2036628

    Article  Google Scholar 

  13. Mintarno E, Skaf J, Zheng R, Velamala JB, Cao Y, Boyd S, Dutton RW, Mitra S (2011) Self-tuning for maximized lifetime energy-efficiency in the presence of circuit aging. IEEE Trans Comput Aided Des Integr Circuits Syst 30(5):760–773. https://doi.org/10.1109/TCAD.2010.2100531

    Article  Google Scholar 

  14. Mostafa H, Anis M, Elmasry M (2012) NBTI and process variations compensation circuits using adaptive body bias. IEEE Trans Semicond Manuf 25(3):460–467. https://doi.org/10.1109/TSM.2012.2192143

    Article  Google Scholar 

  15. Roy K, Mukhopadhyay S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc IEEE 91(2):305–327. https://doi.org/10.1109/JPROC.2002.808156

    Article  Google Scholar 

  16. Stathis JH, Zafar S (2006) The negative bias temperature instability in MOS devices: a review. Microelectron Reliab 46(2–4):270–286. https://doi.org/10.1016/j.microrel.2005.08.001

    Article  Google Scholar 

  17. Stathis JH, Wang M, Zhao K (2010) Reliability of advanced high-k/metal-gate n-FET devices. Microelectron Reliab 50(9):1199–1202. https://doi.org/10.1016/j.microrel.2010.07.017

    Article  Google Scholar 

  18. Sun P, Yang Z, Yu Y, Li J, Peng X (2017) NBTI and power reduction using an input vector control and supply voltage assignment method. Algorithms 10(3):94. https://doi.org/10.3390/a10030094

    Article  MathSciNet  Google Scholar 

  19. Wang Y, Luo H, He K, Luo R, Yang H, Xie Y (2007) Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), Nice, France, p 1–6. https://doi.org/10.1109/DATE.2007.364650

  20. Wang Y, Chen X, Wang W, Cao Y, Xie Y, Yang H (2011) Leakage power and circuit aging Cooptimization by gate replacement techniques. IEEE Trans Very Large Scale Integr VLSI Syst 19(4):615–628. https://doi.org/10.1109/TVLSI.2009.2037637

    Article  Google Scholar 

  21. Wiatr MA, Heller R, Hoentschel J, Geilenkeuser R, Wong SJ, Shah V, Mantei T, Majer M, Pruefer E, Scott C, Rodes T, Wieczorek K, Horstmann M, Greenlaw D (2009) Compensation of operation-related FMAX degradation by adaptive control of circuit operating voltage. Proc. IEEE International Reliability Physics Symposium, Montreal, QC, Canada, p 266–272. https://doi.org/10.1109/IRPS.2009.5173261

  22. Xu Q, Nicolici N, Chakrabarty K (2007) Test wrapper design and optimization under power constraints for embedded cores with multiple clock domains. IEEE Trans Comput Aided Des Integr Circuits Syst 26(8):1539–1547. https://doi.org/10.1109/TCAD.2007.893556

    Article  Google Scholar 

  23. Yang Z, Yu Y, Zhang C, Peng X (2016) NBTI-aware adaptive minimum leakage vector selection using a linear programming approach. Integr VLSI J 53:126–137. https://doi.org/10.1016/j.vlsi.2015.12.009

    Article  Google Scholar 

  24. Yu CH, Lung CL, Ho YL, Hsu RS, Kwai DM, Chang SC (2014) Thermal-aware on-line scheduler for 3-D many-Core processor throughput optimization. IEEE Trans Comput Aided Des Integr Circuits Syst 33(5):763–773. https://doi.org/10.1109/TCAD.2013.2293476

    Article  Google Scholar 

  25. Zhao W, Cao Y (2006) New generation of predictive technology model for Sub-45 nm early design exploration. IEEE Trans Electron Devices 53(11):2816–2823. https://doi.org/10.1109/TED.2006.884077

    Article  Google Scholar 

Download references

Acknowledgments

This work was supported by the National Natural Science Foundation of China (No. 61201015, 61102036, 61571161).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhiming Yang.

Additional information

Responsible Editor: V. Champac

Highlights

• A workload-aware supply voltage assignment has been proposed to reduce NBTI and power.

• The impact of actual system workload on aging estimate and SVA has been analyzed.

• An LUT-based method is presented to encapsulate workload change into aging estimate.

• A novel input vector control is proposed to obtain optimal workload in standby mode.

• The WSVA can provide a reasonable control policy for input vector and supply voltage.

Electronic supplementary material

ESM 1

(PDF 813 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yu, Y., Liang, J., Yang, Z. et al. NBTI and Power Reduction Using a Workload-Aware Supply Voltage Assignment Approach. J Electron Test 34, 27–41 (2018). https://doi.org/10.1007/s10836-018-5707-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-018-5707-z

Keywords

Navigation