Skip to main content
Log in

Gate Oxide Short Defect Model in FinFETs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

FinFET technology is one of the most promising candidates in replacing planar MOSFET beyond the 22 nm technology node. However, the complexity of FinFET manufacturing process has caused challenges in reliable device testing. Gate oxide short (GOS) is one of the dominant defects that has significant impact on circuit reliability. In this paper, we present a GOS defect model for FinFETs by introducing the defect as a pinhole in the gate oxide of a triangular fin shape structure. The pinholes are represented by small cuboid cuts of various sizes on the fin top and sidewalls along the channel. The 3D Sentaurus TCAD simulation results in the development of an analytical GOS defect model that can be used in circuit-level fault modeling, which leads to generating more realistic test patterns.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16

Similar content being viewed by others

References

  1. Auth C, Allen C et al. (2012) A 22 nm high performance and low power CMOS technology featuring fully-depleted tri-gate transistor, self-aligned contacts and high density MIM capacitors. In: Proc. IEEE Symp. on VLSI technology (VLSIT), pp 131– 132

  2. Balestra F, Cristoloveanu S et al. (1987) Double-gate silicon-on-insulator transistor with volume inversion: a new device with greatly enhanced performance. J IEEE Electron Dev Lett 8(9):410–412

    Article  Google Scholar 

  3. Bohr M (2011) The evolution of scaling from the homogeneous era to the heterogeneous era. In: Proc. IEEE Electron device meeting (IEDM), pp 1.1.1–1.1.6

  4. Chehade S, Chehab A, Kayassi A (2009) Modeling of gate oxide short defects in MOSFETs. In: Proc. IEEE International design and test workshop (IDT), pp 1–4

  5. Dibaj R, Al-Khalili D, Shams M (2017) Comprehensive investigation of gate oxide short in FinFETs. In: Proc. IEEE VLSI Test Symp. (VTS), pp 1–6

  6. Foran B, Ives N, Lysaght P (2008) Tomography of high-k dielectric on Fin-FET sidewalls. J Micros Microanal 14:444–445

    Article  Google Scholar 

  7. Gildenblat G (2010) Compact modeling: principles, techniques and applications. Springer Science & Business Media

  8. Hapke F, Redemund W et al. (2014) Cell-aware test. IEEE Trans Comput-Aided Des Integr Circ Syst 33(9):1396–1409

    Article  Google Scholar 

  9. Lin CW, Chao MCT, Hsu CC (2013) Investigation of gate oxide short in FinFETs and test methods for FinFET SRAMs. In: Proc. IEEE VLSI Test Symp. (VTS), pp 1–6

  10. Lin CW, Chao M CT, Hsu CC (2014) Novel circuit-level model for gate oxide short and its testing method in SRAMs. IEEE Trans Very Large-Scale Integr (VLSI) Syst 22(6):1294–1307

    Article  Google Scholar 

  11. Liu Y, Xu Q (2012) On modeling faults in FinFET logic circuits. In: Proc. IEEE International test conference (ITC), pp 1–9

  12. Natarajan S, Agostinelli M et al. (2014) A 14 nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588μ m2 SRAM cell size. In: Proc. IEEE Electron device meeting (IEDM), pp 3.7.1–3.7.3.

  13. Renovell M, Galliere JM et al. (2003) Modeling the random parameters effects in a non-split model of gate oxide short. J Electron Test 19:377–386

    Article  Google Scholar 

  14. Singh AD (2016) Cell aware and stuck-open tests. In: Proc. IEEE European test symp. (ETS), pp 1–6

  15. Synopsys Inc. Three-dimensional simulation of 14/16 nm FinFETs with round fin corners and tapered fin shape (2015)

  16. Synopsys Inc. Sentaurus™ Compact Models User Guide, 2015, Version K-2015.06

  17. Synopsys Inc. Sentaurus™ Process User Guide, 2015, Version K-2015.06

  18. Synopsys Inc. Sentaurus™ Device User Guide, 2015, Version K-2015.06

  19. Sytrzycki M (1989) Modeling of gate oxide shorts in MOS transistors. IEEE Trans Comput-Aided Des Integr Circ Syst 8(3):193–202

    Article  Google Scholar 

Download references

Acknowledgments

The authors would like to express their appreciation to Dr. Saman Adham for his valuable contribution and to CMC Microsystems and Synopsys Inc. for their support throughout this research.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Roya Dibaj.

Additional information

Responsible Editor: M. Barragan and K. Huang

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dibaj, R., Al-Khalili, D. & Shams, M. Gate Oxide Short Defect Model in FinFETs. J Electron Test 34, 351–362 (2018). https://doi.org/10.1007/s10836-018-5727-8

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-018-5727-8

Keywords

Navigation