Skip to main content
Log in

Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Along with the advancement of technology, Negative bias temperature instability (NBTI) has now been considered a severe reliability threat in modern processors causing the device to deteriorate over time. SRAM-based architectures within the memory array are very much prone to the NBTI effect. Since SRAM cells are composed of cross-coupled inverters, one of the PMOS transistors will always be under constant stress and heavily degraded by NBTI, resulting in an increase in threshold voltage and degradation of SNM and performance of SRAM. Similarly, as one the PMOS transistor is always ON, so there will be a leakage power from \(V_{DD}\) to the ground. In this paper, we have proposed a power gated SRAM architecture to reduce the NBTI effect and standby leakage power of a \(4 \times 4\) SRAM array. The proposed gated logic is introduced during the hold state of the SRAM operation. So both the PMOS of the SRAM cell will be OFF during this period and will get sufficient time to relax from NBTI stress. The simulation result shows using our proposed approach overall, 30.41% NBTI-related \(V_{th}\) degradation can be saved and considering only the standby mode, 96.24% NBTI-related degradation can be minimized compared to the conventional SRAM design. Moreover, 79.10% leakage power can be reduced over the conventional design using the proposed approach.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data Availability

Data sharing not applicable to this article as no datasets were generated or analysed during the current study.

References

  1. Mahapatra S, Goel N, Desai S, Gupta S, Jose B, Mukhopadhyay S, Joshi K, Jain A, Islam AE, Alam MA (2013) A comparative study of different physics-based NBTI models. IEEE Trans Electron Devices (TED) 60(3):901–916

    Article  Google Scholar 

  2. Paul BC, Kang K, Kufluoglu H, Alam MA, Roy K (2007) Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits. IEEE Trans Comput Aided Des Integr Circuits Syst 26(4):743–751

  3. Chen YG, Lin IC, Chiu KW, Liu CH (2021) An efficient NBTI-aware wake-up strategy: Concept, design, and manipulation. Integration 80:60–71. https://doi.org/10.1016/j.vlsi.2021.04.003

    Article  Google Scholar 

  4. Mitrovic N, Danković D, Randelovć B, Prijić Z, Stojadinović N (2020) Modeling of static NBT stressing in p-channel VDMOSFETs using Least Square Method. Journal of Microelectronics Electronic Components and Materials 50(3):205–214

    Google Scholar 

  5. Chowdhury P, Guin U, Singh AD et al (2021) Estimating Operational Age of an Integrated Circuit. J Electron Test 37:25–40. https://doi.org/10.1007/s10836-021-05927-3

    Article  Google Scholar 

  6. Djezzar B, Benabdelmoumene A, Zatout B, Messaoud D, Chenouf A, Tahi H, Boubaaya M, Timlelt H (2020) Recovery investigation of NBTI-induced traps in n-MOSFET devices. Microelectron Reliab 110:113703. https://doi.org/10.1016/j.microrel.2020.113703

  7. Picardo SM, Shaik JB, Goel N, Singhal S (2021) Integral impact of PVT variation with NBTI degradation on dynamic and static SRAM performance metrics. Int J Electron 109(2):293–316. https://doi.org/10.1080/00207217.2021.1908628

    Article  Google Scholar 

  8. Prakash O, Maheshwaram S, Beniwal S, Gupta N, Singh N, Manhas SK (2019) Impact of Time Zero Variability and BTI Reliability on SiNW FET-Based Circuits. IEEE Transactions on Device and Materials Reliability 19(4):741–750

    Article  Google Scholar 

  9. Siddiqua T, Gurumurthi S (2012) Enhancing NBTI Recovery in SRAM Arrays Through Recovery Boosting. IEEE Trans Very Large Scale Integr VLSI Syst 20(4): 616–629

  10. UMC 28nm (2018) Available at: https://www.umc.com/en/Product/technologies/Detail/28nm

  11. Faraji R, Naji HR (2014) Adaptive Technique for Overcoming Performance Degradation Due to Aging on 6T SRAM Cells. IEEE Trans Device Mater Reliab 14(4):1031–1040

    Article  Google Scholar 

  12. Shah AP, Yadav N, Beohar A, Vishvakarma SK (2018) On-Chip Adaptive Body Bias for Reducing the Impact of NBTI on 6T SRAM Cells. IEEE Trans Semicond Manuf 31(2):242–249

    Article  Google Scholar 

  13. Kumar SV, Kim KH, Sapatnekar SS (2006) Impact of NBTI on SRAM read stability and design for reliability. 7th International Symposium on Quality Electronic Design (ISQED’06, San Jose, CA, USA)

  14. Ahmed F, Milor L (2011) NBTI resistant SRAM design. 4th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI, Savelletri di Fasano, Italy, 2011). https://doi.org/10.1109/IWASI.2011.6004692

  15. Bansal A, Rao R, Kim J, Zafar S, Stathis JH, Chuang C (2009) Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability. Microelectron Reliab 49(6):642–649

    Article  Google Scholar 

  16. Chenouf A, Djezzar B, Bentarzi H, Benabdelmoumene A (2020) Sizing of the CMOS 6T-SRAM cell for NBTI ageing mitigation. IET Circuits Devices Syst 14(4):555–561

    Article  Google Scholar 

  17. Dounavi HM, Sfikas Y, Tsiatouhas Y (2021) Aging Prediction and Tolerance for the SRAM Memory Cell and Sense Amplifier. J Electron Test 37:65–82. https://doi.org/10.1007/s10836-021-05932-6

    Article  Google Scholar 

  18. Yu Y, Liang J, Yang Z et al (2018) NBTI and Power Reduction Using a Workload-Aware Supply Voltage Assignment Approach. J Electron Test 34:27–41. https://doi.org/10.1007/s10836-018-5707-z

    Article  Google Scholar 

  19. Mahapatra S, Parihar N (2020) Modeling of NBTI Using BAT Framework: DC-AC Stress-Recovery Kinetics, Material, and Process Dependence. IEEE Trans Device and Mater Reliab 20(1):4–23

  20. Jech M, Rott G, Reisinger H, Tyaginov S, Rzepa G, Grill A, Jabs D, Jungemann C et al (2020) Mixed Hot-Carrier/Bias Temperature Instability Degradation Regimes in Full VG, VD Bias Space: Implications and Peculiarities. IEEE Trans Electron Devices 67(8):3315–3322. https://doi.org/10.1109/TED.2020.3000749

    Article  Google Scholar 

  21. Puschkarsky K, Grasser T, Aichinger T, Gustin W, Reisinger H (2019) Review on SiC MOSFETs High-Voltage Device Reliability Focusing on Threshold Voltage Instability. IEEE Trans Electron Devices 66(11):4604–4616

    Article  Google Scholar 

  22. Danković D, Mitrović N, Prijić Z, Stojadinović ND (2020) Modeling of NBTS Effects in P-Channel Power VDMOSFETs. IEEE Trans Device Mater Reliab 20(1):204–213. https://doi.org/10.1109/TDMR.2020.2974131

    Article  Google Scholar 

  23. Bhattacharjee A, Pradhan SN (2021) NBTI-Aware Power Gating Design with Dynamically Varying Stress Probability Control on Sleep Transistor. Journal of Circuits Systems and Computers (JCSC) 30(11). https://doi.org/10.1142/S0218126621200048

  24. Bhattacharjee A, Sahu DK, Pradhan SN (2021) Lookup table-based negative-bias temperature instability effect and leakage power co-optimization using genetic algorithm approach. International Journal of Circuit Theory and Applications 49(7):1902–1915. https://doi.org/10.1002/CTA.3038

    Article  Google Scholar 

  25. Danković D, Manić I, Prijić A, Davidović V, Prijić Z, Golubović S, Djorić-Veljković S, Paskaleva A, Spassov D, Stojadinović N (2018) A review of pulsed NBTI in P-channel power VDMOSFETs. Microelectron Reliab 82:28–36

    Article  Google Scholar 

  26. Ceratti A, Copetti T, Bolzani L, Vargas F, Fagundes R (2014) An On-Chip Sensor to Monitor NBTI Effects in SRAMs. J Electron Test 30(2):159–169. https://doi.org/10.1007/s10836-014-5444-x

    Article  Google Scholar 

  27. Alam MA, Kufluoglu H, Varghese D, Mahapatra S (2007) A comprehensive model for PMOS NBTI degradation: recent progress. Microelectron Reliab 47:853–862. https://doi.org/10.1016/j.microrel.2006.10.012

    Article  Google Scholar 

  28. Bhardwaj S, Wang W, Vattikonda R, Cao Y, Vrudhula S (2008) Scalable model for predicting the effect of negative bias temperature instability for reliable design. IET Circuits Devices Syst 2(4):361–371

    Article  Google Scholar 

  29. Calimera A, Macii E, Poncino M (2010) Analysis of NBTI-induced SNM degradation in power-gated SRAM cells, Proc. of 2010 IEEE International Symposium on Circuits and Systems (Paris, France, 2010) 785–788. https://doi.org/10.1109/ISCAS.2010.5537452

  30. NBTI-aware bit line voltage control with boosted supply voltage for improvement of 6T SRAM cell read stability (2015) International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD, Istanbul, Turkey, 2015) 1–4. https://doi.org/10.1109/SMACD.2015.7301691

  31. Europractice (2018) Available at: https://europractice-ic.com/mpw-prototyping/asics/umc/

  32. Cadence (2018) Available at: https://www.cadence.com/content/dam/cadence-www/global/en_US/documents/tools/custom-ic-analog-rfdesign/rethinking-reliability-wp.pdf

  33. Nag A, Nath D, Pradhan SN (2017) Leakage Reduction of SRAM-Based Look-Up Table Using Dynamic Power Gating. Journal of Circuits Systems and Computers (JCSC) 26(3). https://doi.org/10.1142/S0218126617500414

  34. Nag A, Das S, Pradhan SN (2019) Low-Power FSM Synthesis Based on Automated Power and Clock Gating Technique. Journal of Circuits Systems and Computers (JCSC) 28(5). https://doi.org/10.1142/S0218126619200032

Download references

Acknowledgments

The project SMDP-C2SD, sponsored by Meity, Government of India, has supported this work.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Abhishek Bhattacharjee.

Ethics declarations

Conflicts of Interest

The authors declare that they have no conflict of interest.

Additional information

Responsible Editor: F. L. Vargas

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Bhattacharjee, A., Nag, A., Das, K. et al. Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation. J Electron Test 38, 91–105 (2022). https://doi.org/10.1007/s10836-022-05990-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-022-05990-4

Keywords

Navigation