Skip to main content
Log in

A nonlinear optimization methodology for VLSI fixed-outline floorplanning

  • Published:
Journal of Combinatorial Optimization Aims and scope Submit manuscript

Abstract

Floorplanning is a critical step in the physical design of VLSI circuits. The floorplanning optimization problem can be formulated as a global optimization problem minimizing wire length, with the area of each rectangular module fixed while the module’s height and width are allowed to vary subject to aspect ratio constraints. While classical floorplanning seeks to simultaneously minimize the wire length and the area of the floorplan without being constrained by a fixed outline for the floorplan, state-of-the-art technologies such as System-On-Chip require the solution of fixed-outline floorplanning. Fixing the outline of the floorplan makes the problem significantly more difficult. In this paper, we propose a two-stage nonlinear-optimization-based methodology specifically designed to perform fixed-outline floorplanning by minimizing wire length while simultaneously enforcing aspect ratio constraints on soft modules and handling a zero deadspace situation. In the first stage, a convex optimization globally minimizes an approximate measure of wire length. Using the solution of the first stage as a starting point, the second stage minimizes the wire length by sizing the modules subject to the prescribed aspect ratios, and ensuring no overlap. Computational results on standard benchmarks demonstrate that the model is competitive with other floorplanning approaches in the literature.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  • Adya SN, Markov IL (2003) Fixed-outline floorplanning: enabling hierarchical design. IEEE Trans Very Large Scale Integr (VLSI) Syst 11(6):1120–1135

    Article  Google Scholar 

  • Anjos MF, Vannelli A (2002) An attractor-repeller approach to floorplanning. Math Methods Oper Res 56:3–27

    Article  MATH  MathSciNet  Google Scholar 

  • Anjos MF, Vannelli A (2006) A new mathematical-programming framework for facility-layout design. INFORMS J Comput 18(1):111–118

    Article  MathSciNet  Google Scholar 

  • Brasen DR, Bushnell ML (1990) MHERTZ: A new optimization algorithm for floorplanning and global routing. In: Proc of ACM/IEEE Design Automation Conf, pp 107–110

  • Chen T, Fan MKH (1998) On convex formulation of the floorplan area minimization problem. In: Proc of ACM Intl Symp on Physical Design, pp 124–128

  • Chen P, Kuh ES (2000) Floorplan sizing by linear programming approximation. In: Proc of ACM/IEEE Design Automation Conf, pp 468–471

  • Choi S-G, Kyung C-M (1991) A floorplanning algorithm using rectangular Voronoi diagram and force-directed block shaping. In: Proc of IEEE/ACM Intl Conf on Computer-Aided Design, pp 56–59

  • Chu CCN, Young EFY (2004) Non-rectangular shaping and sizing of soft modules for floorplan design improvement. IEEE Trans Comput-Aided Des Integr Circuits Syst 23(1):71–79

    Article  Google Scholar 

  • Cong J, Romesis M, Shinnerl J (2005) Fast floorplanning by look-ahead enabled recursive bipartioning. In: Proc of Asia and South Pacific Design Automation Conf, pp 1119–1122

  • Cong J, Romesis M, Shinnerl J (2005) Fast floorplanning by look-ahead enabled recursive bipartioning. Tech report

  • Czyzyk J, Mesnier M, Moré J (1998) The NEOS server. IEEE J Comput Sci Eng 5:68–75

    Article  Google Scholar 

  • Du Y, Vannelli A (1998) A nonlinear programming and local improvement method for standard cell placement. In: Proc of IEEE Custom Integrated Circuit Conf, pp 597–600

  • Eisenmann H, Johannes F (1998) Generic global placement and floorplanning. In: Proc of ACM/IEEE Design Automation Conf, pp 269–274

  • Etawil H, Areibi S, Vannelli A (1999) Attractor-repeller approach for global placement. In: Proc of IEEE/ACM Intl Conf on Computer-Aided Design, pp 20–24

  • Ferris M, Mesnier M, Moré J (2000) NEOS and condor: solving optimization problems over the internet. ACM Trans Math Softw 26(1):1–18

    Article  Google Scholar 

  • Fourer R, Gay D, Kernighan B (2003) AMPL: A modeling language for mathematical programming. Brooks/Cole Publishing, Pacific Grove

    Google Scholar 

  • Gamal AAE (1981) Two-dimensional stochastic model for interconnections in master slice integrated circuits. IEEE Trans Circuits Syst 28:127–138

    Article  MATH  Google Scholar 

  • Hamada T, Cheng CK, Chau PM (1996) A wire length estimation technique utilizing neighborhood density equations. IEEE Trans Comput-Aided Des 15:912–922

    Article  Google Scholar 

  • Hebgen W, Zimmermann G (1996) Hierarchical netlength estimation for timing prediction. In: Proc of Physical Design Workshop, pp 118–125

  • Herrigel A, Fichtner W (1989) An analytic optimization technique for placement of macro-cells. In: Proc of ACM/IEEE Design Automation Conf, pp 376–381

  • Ho S-Y, Ho S-J, Lin Y-K, Chu WC-C (2004) An orthogonal simulated annealing algorithm for large floorplanning problems. IEEE Trans Very Large Scale Integr (VLSI) Syst 12(8):874–877

    Article  Google Scholar 

  • Hur SW, Lillis J (1999) Relaxation and clustering in a local search framework: Application to linear placement. In: Proc of ACM/IEEE Design Automation Conf, pp 360–366

  • Jackson MAB, Kuh ES (1989) Performance-driven placement of cell based IC’s. In: Proc of ACM/IEEE Design Automation Conf, pp 370–375

  • Kahng AB (2000) Classical floorplanning harmful? In: Proc of ACM Intl Symp on Physical Design, pp 207–213

  • Kennings A, Markov I (2000) Analytical minimization of half-perimeter wirelength. In: Proc of Asia and South Pacific Design Automation Conf, pp 179–184

  • Kim J-G, Kim Y-D (2003) A linear programming-based algorithm for floorplanning in VLSI design. IEEE Trans Comput-Aided Des Integr Circuits Syst 2(5):584–592

    Google Scholar 

  • Kleinhans J, Sigl G, Johannes F, Antreich K (1991) Gordian: VLSI placement by quadratic programming and slicing optimization. IEEE Trans Comput-Aided Des 10(3):356–365

    Article  Google Scholar 

  • Lai Y, Leinwand SM (1988) Algorithms for floorplan design via rectangular dualization. IEEE Trans Comput-Aided Des 7(12):1278–1289

    Article  Google Scholar 

  • MCNC (2004) http://www.cse.ucsc.edu/research/surf/gsrc/mcncbench.html. MCNC Floorplan Benchmark Suite, University of California, Santa Cruz

  • Mogaki M, Miura C, Terai H (1987) Algorithm for block placement with size optimization technique by the linear programming approach. In: Proc of IEEE/ACM Intl Conf on Computer-Aided Design, pp 80–83

  • Moh T-S, Chang T-S, Hakimi SL (1996) Globally optimal floorplanning for a layout problem. IEEE Trans Circuits Syst 43(9):713–720

    Article  MathSciNet  Google Scholar 

  • Murata H, Kuh ES (1998) Sequence-pair based placement method for hard/soft/pre-placed modules. In: Proc of ACM Intl Symp on Physical Design, pp 167–172

  • Murata H, Fujiyoshi K, Nakatake S, Kajitani Y (1998) VLSI/PCB placement with obstacles based on sequence pair. IEEE Trans Comput-Aided Des 17(1):61–68

    Article  Google Scholar 

  • Murtagh B, Saunders M (1978) Large-scale linearly constrained optimization. Math Program 14(1):41–72

    Article  MATH  MathSciNet  Google Scholar 

  • Murtagh B, Saunders M (1982) A projected Lagrangian algorithm and its implementation for sparse nonlinear constraints. Math Program Stud 16:84–117

    MATH  MathSciNet  Google Scholar 

  • Murtagh B, Saunders M (1983) MINOS 5.0 user’s guide. Tech Report SOL 83-20, Department of Operations Research, Stanford University. Revised as MINOS 5.1 user’s guide, Report SOL 83-20R, 1987

  • Onodera H, Taniguchi Y, Tamaru K (1991) Branch-and-bound placement for building block layout. In: Proc of ACM/IEEE Design Automation Conf, pp 433–439

  • Pedram M, Preas B (1989) Interconnection length estimation for optimized standard cell layouts. In: Proc of IEEE/ACM Intl Conf on Computer-Aided Design, pp 390–393

  • Prasitjutrakul S, Kubitz WJ (1989) Path-delay constrained floorplanning: A mathematical programming approach for initial placement. In: Proc of ACM/IEEE Design Automation Conf, pp 364–369

  • Qi X, Feng Z, Yan X (1994) An algorithm of timing driven floorplanning for VLSI layout design. In: Proc of Intl Conf on Computer-Aided Drafting, Design and Manufacturing Technology, pp 642–646

  • Ranjan A, Bazargan K, Ogrenci S, Sarrafzadeh M (2001) Fast floorplanning for effective prediction and construction. IEEE Trans Very Large Scale Integr (VLSI) Syst 9(2):341–351

    Article  Google Scholar 

  • Rosenberg E (1989) Optimal module sizing in VLSI floorplanning by nonlinear programming. Methods Models Oper Res 33:131–143

    Article  MATH  Google Scholar 

  • Sait SM, Youssef H (1995) VLSI physical design automation: theory and practice. IEEE Press, New York

    Google Scholar 

  • Sechen C (1988) VLSI placement and global routing using simulated annealing. Kluwer Academic, Norwell

    Google Scholar 

  • Sutanthavibul S, Shragowitz E, Rosen JB (1991) An analytical approach to floorplan design and optimization. IEEE Trans Comput-Aided Des Integr Circuits Syst 10(6):761–769

    Article  Google Scholar 

  • Takouda PL, Anjos MF, Vannelli A (2005) Global lower bounds for the VLSI macrocell floorplanning problem using semidefinite optimization. In: Proc of the Fifth International Workshop System-on-Chip for Real-Time Applications, pp 275–280

  • Tang X, Tian R, Wong DF (2001) Fast evaluation of sequence pair in block placement by longest common subsequence computation. IEEE Trans Comput-Aided Des 20(12):1406–1413

    Article  Google Scholar 

  • Vandenberghe L, Boyd S (1996) Semidefinite programming. SIAM Rev 38(1):49–95

    Article  MATH  MathSciNet  Google Scholar 

  • Vygen J (1997) Algorithms for large-scale flat placement. In: Proc of ACM/IEEE Design Automation Conf, pp 746–751

  • Wang B, Chrzanowska-Jeske M, Jeske M (2003) Methods for efficient use of Lagrangian relaxation for soc soft-module floorplanning. In: Proc of IEEE Intl Conf on Systems-on-Chip, pp 293–294

  • Weis BX, Mlynski DA (1987) A new relative placement procedure based on MSST and linear programming. In: Proc of IEEE Intl Symp on Circuits and Systems, pp 564–567

  • Wimer S, Koren I, Cederbaum I (1988) Floorplans, planar graphs, and layouts. IEEE Trans Circuits Syst 35(3):267–278

    Article  MATH  MathSciNet  Google Scholar 

  • Wimer S, Koren I, Cederbaum I (1989) Optimal aspect ratios of building blocks in VLSI. IEEE Trans Comput-Aided Des Integr Circuits Syst 8(2):139–145

    Article  Google Scholar 

  • Wong DF, Liu CL (1986) A new algorithm for floorplan design. In: Proc of ACM/IEEE Design Automation Conf, pp 101–107

  • Wong DF, Liu CL (1989) Floorplan design of VLSI circuits. Algorithmica 4:263–291

    Article  MATH  MathSciNet  Google Scholar 

  • Wong DF, Leong HW, Liu CL (1988) Simulated annealing for VLSI design. Kluwer Academic, Norwell

    MATH  Google Scholar 

  • Ying C-S, Wong JS-L (1989) An analytical approach to floorplanning for hierarchical building blocks layout. IEEE Trans Comput-Aided Des 8(4):403–412

    Article  Google Scholar 

  • Young FY, Wong DF, Yang HH (2000) Slicing floorplans with range constraint. IEEE Trans Comput-Aided Des Integr Circuits Syst 19(2):272–278

    Article  Google Scholar 

  • Youssef H, Sait SM, Al-Farra KJ (1995) Timing influenced force directed floorplanning. In: Proc of Design Automation Conf with EURO-VHDL, pp 156–161

  • Zhan Y, Feng Y, Sapatnekar S (2006) A fixed-die floorplanning algorithm using an analytical approach. In: Proc of Asia and South Pacific Design Automation Conf, pp 771–776

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Miguel F. Anjos.

Additional information

Research supported by a Postgraduate Award, Discovery Grants 312125 and 15296, and RTI Grant 314668 from the Natural Sciences and Engineering Research Council of Canada, by an Ontario Graduate Scholarship, and by a MITACS grant.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Luo, C., Anjos, M.F. & Vannelli, A. A nonlinear optimization methodology for VLSI fixed-outline floorplanning. J Comb Optim 16, 378–401 (2008). https://doi.org/10.1007/s10878-008-9148-y

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10878-008-9148-y

Keywords

Navigation