Skip to main content
Log in

High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor Core

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Emerging high-level hardware description and synthesis technologies in conjunction with field programmable gate arrays (FPGAs) have significantly lowered the threshold for hardware development. Opportunities exist to integrate these technologies into a tool for exploring and evaluating microarchitectural designs especially for newly proposed architectures. This paper presents a prototyping of a new processor core based on Queue architecture as starting point for application-specific processor design exploration. Using a hardware description language, we have created the Synthesizable model of a produced order parallel queue processor core for the integer subset parallel Queue architecture. A prototype implementation is produced by synthesizing the high-level model for the Stratix FPGA prototyping board. We show how to perform prototyping and optimizations to fully exploit the capabilities of the prototyped Queue processor core, while maintaining a common source base.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. B. A. Abderazek, M. Arsenji, S. Shigeta, T. Yoshinaga, and M. Sowa. Queue processor for novel queue computing paradigm based on produced order scheme. IEEE Proc. of CS, 0-7695-2138-X/04, 169–177, July 2004.

  2. M. Sowa, B. A. Abderazek, and T. Yoshinaga. Parallel queue processor architecture based on produced order computation model. Journal of Supercomputing, 32(3):217–229, 2005.

    Article  Google Scholar 

  3. G. D. Micheli, R. Ernst, and W. Wolf. Readings in hardware/software Co-Design, Morka Kaufmann Publishers, ISBN 1-55860-702-1, Academic Press, 2002.

  4. M. Bhardwa, R. Min, and A.P. Chandrakasan. Quantifying and enhancing power awareness of VLSI systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9:757–772, 2001.

    Article  Google Scholar 

  5. D. Harel. Statecharts: A visual formalism for complex systems. Science of computer Programming, 8:231–274, 1987.

    Article  MATH  MathSciNet  Google Scholar 

  6. B. A. Abderazek, S. Shigeta, T. Yoshinaga, and M. Sowa. Reduced Bit-Width Instruction Set Architecture for Q-mode Execution in Hybrid Processor Architecture (FaRM-rq), IPSJ SIG TR, pp. 19–23, June 2003.

  7. V. Gutnik and A. P. Chandrakasan. Embedded power supply for low-power DSP. IEEE Transactions of Very Large Scale Integration (VLSI) Systems, 5(4):425–435, 1997.

    Article  Google Scholar 

  8. F. Arahata, O. Nishii, K. Uchiyama, and N. Nakagawa. Functional verification of the superscalar SH-4 microprocessor. Compcon ’97. Proceedings, IEEE, 23–26, 115–120, Feb 1997.

  9. S. Aditya, B. R. Rau, and V. Kathail. Automatic architectural synthesis of vliw and epic processors. In Proc. 12th Int. Symposium. System Synthesis, IEEE CS Press, Los Alamitos, Calif., pp. 107–113, 1999.

  10. B. A. Abderazek, N. Kirilka, and M. Sowa. FARM-queue mode: On a practical queue execution model. In Proceedings of the Int. Conf. on Circuits and Systems, Computers and Communications, Tokushima, Japan, pp. 939–944, 2001.

  11. SuperH RISC engine SH-1/Sh-2/Sh-DSP Programming Manual: http://www.renesas.com

  12. M. Sowa, B. A. Abderazek, S. Shigeta, K. Nikolova, and T. Yoshinaga. Proposal and design of a parallel queue processor architecture (PQP), 14th IASTED Int. Conf. on Parallel and Distributed Computing and System, Cam-bridge, USA, pp. 554–560, 2002.

  13. B. A. Abderazek, M. Arsenji, K. Kiuchi, M. Akanda, S. Shigeta, T. Yoshinaga, and M. Sowa. PQP: Parallel Queue Processor Architecture in Verilog-HDL, IPSJ, 66th Conference, 2004.

  14. M. Sheliga and E. H. Sha. Hardware/software co-design with the hms framework. Journal of VLSI. Signal Processing Systems, 13(1):37–56, 1996.

    Article  Google Scholar 

  15. B. A. Abderazek, M. Sarem, and M. Sowa. Dynamic fast issue mechanism (DFI) for dynamic scheduled processors, IEICE Trans. on Fundamental of Electronics, Communications and Computer Science, E83-A(12):2417–2425, 2001.

    Google Scholar 

  16. C. Chantrapornchai, E. H. M. Sha, and X. Sharon Hu. Efficient Acceptable Design Exploration Based on Module Utility Selection. IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems, 19(1):19–29, 2000.

    Article  Google Scholar 

  17. S. Chaudhuiri, S. A. Btlythe, and R. A. Walker. A solution methodology for exact design space exploration in a three-dimensional design space, IEEE Trans. on VLSI Systems, 5:69–81, 1997.

    Article  Google Scholar 

  18. D. Lewis, V. Betz, D. Jeerson, A. L., C. Lane, P. Leventis, S. Marquardt, C. McClintock, B. Pedersen, G. Powell, Srinivas Reddy, C. Wysocki, R. Cli, and J. Rose. The Stratix routing and logic architecture. Proceedings of the International Symposium on Field Programmable Gate Arrays, February 23–25, 2003, Monterey, California, USA.

  19. B. A. Abderazek, M. Arsenji, S. Shigeta, T. Yoshinaga, and M. Sowa. Queue processor for novel queue computing paradigm based on produced order scheme, Proc. of HPC, IEEE CS, pp. 169–177, July 2004.

  20. B. Benschneider, et al. A 300-MHz 64 b quad-issue CMOS RISC Microprocessor, IEEE Journal of Solid State Circuits, 30(11): Nov. 1995.

  21. P6 Power Data Slides provided by Intel Corp. to Universities.

  22. B. Bisshop, T. Killiher, and M. Irwin. The design of register renaming unit. In Proceedings of Great Lakes Symposium on VLSI, 1999.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ben A. Abderazek.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Abderazek, B.A., Yoshinaga, T. & Sowa, M. High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor Core. J Supercomput 38, 3–15 (2006). https://doi.org/10.1007/s11227-006-6719-5

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-006-6719-5

Keywords

Navigation