Skip to main content
Log in

Reliable network-on-chip design for multi-core system-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Network-on-chip (NoC) architectures must deliver good latency-through put performance in the face of tight power and area budgets. However, in high-performance chip design, a significant design challenge is how to fulfill the requirements of circuit noise elimination, since the faults will slow down performance and dissipate much of the overall system power. This paper presents a simple coding scheme for reducing power dissipation, crosstalk noise, and crosstalk delay on the bus while simultaneously detecting errors at runtime. It uses a simple bus-invert encoding technique to reduce the prohibited transitions in terms of crosstalk noise and power dissipation. We also design a corresponding detector to detect errors at the input of the NoC routers. It can save energy by interrupting communications without storing and routing the packets when errors occur during transmissions. The experimental results for various multimedia applications show significant reduction in the number of patterns that are most likely to produce crosstalk errors. The results also show that it is attractive in terms of cost to apply the detecting logic to routers in the NoC with respect to the power consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Aghaghiri Y, Fallah F, Pedram M (2004) Transition reduction in memory buses using sector-based encoding techniques. IEEE Trans Comput Aided Design Integr Circuits Syst 23(8):1164–1174

    Article  Google Scholar 

  2. Ayoub R, Orailoglu A (2005) A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise and delay on processor buses. In: Proceedings of the international conference on Asia and South design automation conference, January 2005, pp 729–734

  3. Bambha NK, Bhattacharyya SS (2005) Joint application mapping/interconnect synthesis techniques for embedded chip-scale multiprocessors. IEEE Trans Parallel Distrib Syst 16(2):99–112

    Article  Google Scholar 

  4. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. IEEE Comput Mag (January):70–78

  5. Bertozzi D, Jalabert A, Murali S, Tamhankar R, Stergio S, Benini L, Micheli GD (2005) NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans Parallel Distrib Syst 16(2):113–129

    Article  Google Scholar 

  6. Chang K-C, Shen J-S, Chen T-F (2005) A low-power crossroad switch architecture and its core placement for network-on-chip. In: Proceedings of the international symposium on low power electronics and design, August 2005, pp 375–380

  7. Chang K-C, Shen J-S, Chen T-F (2006) Evaluation and design trade-offs between circuit-switched and packet-switched NoCs for application-specific SoCs. In: Proceedings of the design automation conference, July 2006, pp 143–148

  8. Chang K-C, Shen J-S, Chen T-F (2008) Tailoring circuit-switched network-on-chip to application-specific SoC. ACM Trans Design Autom Electronic Syst 13(1)

  9. Dally WJ (1992) Virtual channel flow control. IEEE Trans Parallel Distrib Syst 3(2):194–205

    Article  Google Scholar 

  10. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of design and automation conference, June 2001, pp 684–689

  11. Deogun HS, Rao R, Sylvester D, Brown R, Nowka K (2005) Dynamically pulsed MTCMOS with bus encoding for total power and crosstalk minimization. In: Proceedings of the international conference on quality of electronic design, March 2005, pp 88–93

  12. Duan C, Tirumala A, Khatri SP (2001) Analysis and avoidance of crosstalk in on-chip buses. In: Proceedings of the international conference on hot interconnects, 2001, pp 133–138

  13. Hegde R, Shanbhag NR (2000) Toward achieving energy efficiency in the presence of deep submicron noise. IEEE Trans Very Large Scale Integr Syst 8(4):379–391

    Article  Google Scholar 

  14. Kim K, Baek K, Shanbhag N, Liu C, Kang S (2000) Coupling-driven signal encoding scheme for low-power interface design. In: Proceedings of the international conference on computer-aided design, 2000, pp 318–321

  15. Kong J-T (2004) Cad for nanometer silicon design challenges and success. IEEE Trans Very Large Scale Integr Syst 12(11):1132–1147

    Article  Google Scholar 

  16. Lee S-J, Lee K, Yoo H-J (2005) Packet-switched on-chip interconnection network for system-on-chip applications. IEEE Trans Circuits Syst 52(6):308–312

    Article  Google Scholar 

  17. Lyuh C-G, Kim T (2002) Low power bus encoding with crosstalk delay elimination. In: Proceedings of the international conference on ASIC/SOC, September 2002, pp 25–28

  18. Murali S, Micheli GD, Benini L, Theocharides T (2005) Analysis of error recovery schemes for networks on chips. IEEE Design Test Comput 22(5):434–442

    Article  Google Scholar 

  19. Pande PP, Micheli GD, Grecu C, Ivanov A, Saleh R (2005) Design, synthesis, and test of networks on chips. IEEE Design Test Comput 22(5):404–413

    Article  Google Scholar 

  20. Ramprasad S, Shanbhag NR, Hajj IN (1999) A coding framework for low-power address and data buses. IEEE Trans Very Large Scale Integr Syst 7(2):212–221

    Article  Google Scholar 

  21. Sridhara SR, Ahmed A, Shanbhag NR (2004) Area and energy-efficient crosstalk avoidance codes for on-chip buses. In: Proceedings of ICCD, 2004, pp 12–17

  22. Stan MR, Burleson WP (1995) Bus-invert coding for low-power I/O. IEEE Trans Very Large Scale Integr Syst 3(1):49–58

    Article  Google Scholar 

  23. Victor B, Keutzer K (2001) Bus encoding to prevent crosstalk delay. In: Proceedings of the international conference on computer aided design, November 2001, pp 57–63

  24. Wang HS, Zhu X, Peh LS, Malik S (2002) Orion: a power-performance simulator for interconnection networks. In: Proceedings of the 35th international symposium on microarchitecture, November 2002, pp 294–305

  25. Xic L, Qiu P, Qiu Q (2005) Partitioned bus coding for energy reduction. In: Proceedings of the Asia and South Pacific design automation conference, 2005, pp 1280–1283

  26. Zhang Y, Lach J, Skadron K, Stan MR (2002) Odd/even bus invert with two-phase transfer for buses with coupling. In: Proceedings of the international symposium on low power electronics and design, 2002, pp 80–83

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kuei-Chung Chang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Chang, KC. Reliable network-on-chip design for multi-core system-on-chip. J Supercomput 55, 86–102 (2011). https://doi.org/10.1007/s11227-009-0376-4

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-009-0376-4

Navigation