Skip to main content

Advertisement

Log in

Floorplan-aware application-specific network-on-chip topology synthesis using genetic algorithm technique

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Communication plays a critical role in the design and performance of multi-core systems-on-chip (SoCs). Networks-on-chip (NoCs) have been proposed as a promising solution to complex on-chip communication problems. As regular NoC topologies are infeasible to satisfy the performance demand for application-specific NoC, customized topology synthesis is therefore desirable. However, NoC topology synthesis problem is an NP-hard problem. In this paper, we propose a suboptimal genetic-algorithm based technique to synthesize application-specific NoC topology with system-level floorplan awareness. The method minimizes the power consumption and router resources while satisfying latency and bandwidth performance constraints. We have evaluated the proposed technique by running a number of representative benchmark applications and the results indicate that our method generates approximate optimal topologies effectively and efficiently for all benchmarks under consideration.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Dally WJ, Towles B (2001) Route packet, not wires: on-chip interconnection networks. In: Proceedings of design automation conference 2001, pp 684–689

    Google Scholar 

  2. Benini L, Micheli GD (2002) Networks on chips: a new SoC paradigm. IEEE Trans Comput 35(1):70–78

    Google Scholar 

  3. Ogras UY, Hu J, Marculescu R (2005) Key research problems in NoC design: a holistic perspective. In: The IEEE/ACM/IFIP international conference on hardware software codesign and system synthesis, Sept. 19–21, 2005, Jersey City, New Jersey, USA

    Google Scholar 

  4. Srinivasan K et al (2006) Linear-programming-based techniques for synthesis of network-on-chip architectures. IEEE Trans Very Large Scale Integr 14(4):407–420

    Article  Google Scholar 

  5. Leary G et al (2009) Design of network-on-hip architectures with a genetic algorithm-based technique. IEEE Trans Very Large Scale Integr 17(5):674–687

    Article  Google Scholar 

  6. Adya SN, Markov IL (2003) Fix-outline floorplanning: enabling hierarchical design. IEEE Trans Very Large Scale Integr 11(6):1120–1135

    Article  Google Scholar 

  7. Marculescu R et al (2009) Outstanding research problems in NoC design: system, micro-architecture, and circuit perspectives. IEEE Trans Comput-Aided Des Integr Circuits Syst 28(1):3–21

    Article  Google Scholar 

  8. Agarwal A et al (2009) Survey of network-on-chip (NoC) architectures and contributions. J Eng Comput Archit 3(1):13–27

    Google Scholar 

  9. Atienza D et al (2008) Network-on-chip design and synthesis outlook. Integration 41:340–359

    Google Scholar 

  10. Benini L (2006) Application-specific NoC design. In: Proceeding of IEEE design, automation and test’06, pp 491–495

    Google Scholar 

  11. Hu J, Marculescu R (2003) Exploiting the routing flexibility for energy/performance-aware mapping of regular NoC architectures. In: Proceedings of the design, automation and test in Europe conference and exhibition, vol 1, pp 10688–10693

    Google Scholar 

  12. Murali S, Micheli GD (2004) SUMAP: a tool for automatic topology selection and generation for NoCs. In: Proceedings of DAC’04, pp 914–919

    Google Scholar 

  13. Murali S et al (2005) Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. In: Proceedings of ASPDAC’05, pp 27–32

    Google Scholar 

  14. Ahonen T et al (2004) Topology optimization for application-specific networks-on-chip. In: SLIP’04, pp 53–60

    Google Scholar 

  15. Ogras U, Marculescu R (2005) Application-specific network-on-chip architecture customization via long range link insertion. In: Proceedings of ICCAD, pp 184–190

    Google Scholar 

  16. Ogras U, Marculescu R (2006) It’s a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans Very Large Scale Integr 14(7):693–706

    Article  Google Scholar 

  17. Ravi R et al (2001) Approximation algorithms for degree-constrained minimum-cost network-design problems. Algorithmica 31(1):58–78

    Article  MathSciNet  MATH  Google Scholar 

  18. Hu J, Marculescu R (2003) Energy- and performance-aware mapping for tile-based NoC architectures under performance constraints. In: Proceedings of ASP-DAC, pp 233–239

    Google Scholar 

  19. Srinivasan K, Chatha KS, Konjevod G (2005) An automated technique for topology and route generation of application-specific on-chip interconnection networks. In: Proceedings of IEEE/ACM international conference on computer-aided design’05, pp 231–237

    Google Scholar 

  20. Jalabert A et al (2004) XpipesCompiler: A tool for instantiating application-specific networks-on-chip. In: Proceedings of the design, automation and test in Europe conference and exhibition, pp 884–889

    Chapter  Google Scholar 

  21. Chang KC (2009) Reliable network-on-chip design for multi-core system-on-chip. J Supercomput

  22. Dimitriu V, Khan GN (2009) Throughput-oriented NoC topology generation and analysis for high performance SoCs. IEEE Trans Very Large Scale Integr 17(10):1433–1446

    Article  Google Scholar 

  23. Khan GN, Dimitriu V (2010) A modeling tool for simulating and design of on-chip network systems. Microprocess Microsyst 34(2–4):84–95

    Article  Google Scholar 

  24. Murali S, Micheli GD (2004) Bandwidth-constrained mapping of cores onto NoC architectures. In: Proceedings of the design, automation and test in Europe conference and exhibition (DATE’04), vol 2, pp 896–901

    Chapter  Google Scholar 

  25. Srinivasan K et al (2005) An automated technique for topology and route generation of application-specific on-chip interconnection networks. In: Proceedings of the 2005 IEEE/ACM international conference on computer-aided design, November 06–10, 2005, San Jose, CA, pp 231–237

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to X. Lin.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Lai, G., Lin, X. Floorplan-aware application-specific network-on-chip topology synthesis using genetic algorithm technique. J Supercomput 61, 418–437 (2012). https://doi.org/10.1007/s11227-011-0599-z

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-011-0599-z

Keywords

Navigation