Skip to main content
Log in

A star network approach in heterogeneous multiprocessors system on chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Multiprocessor System on Chip (MPSoC) platform plays a vital role in parallel processor architecture design. However, with the growing number of processors, interconnect on chip is becoming one of the major bottlenecks of MPSoC architecture. In this paper, we propose a star network based on peer to peer links on FPGA. The star network utilizes fast simplex links (FSL) as basic structure to connect the scheduler with heterogeneous processing elements, including processors and hardware IP cores. Blocking and nonblocking application interfaces are provided for high level programming. We built a prototype system on FPGA to evaluate the transfer time and hardware cost of the proposed star network architecture. Experiment results demonstrated that the average transfer time for each word could be reduced to 7 cycles, which achieves 14× speedup against state-of-the-art shared memory literatures. Moreover, the star network cost only 1.2 % Flip Flops and 2.45 % LUTs of a single FPGA.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm[J]. Computer 35(1):70–78

    Article  Google Scholar 

  2. Wolf W, Jerraya AA, Martin G (2008) Multiprocessor system-on-chip (MPSoC) technology[J]. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(10):1701–1713

    Article  Google Scholar 

  3. William JD, Brian T (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, United States. ACM, New York

    Google Scholar 

  4. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip[J]. ACM Comput Surv 38(1):1

    Article  Google Scholar 

  5. Martin G (2006) Overview of the MPSoC design challenge. In: Design automation conference, 2006 43rd ACM/IEEE, San Francisco, CA. IEEE Press, New York, pp 274–279

    Chapter  Google Scholar 

  6. Tobias B, Shankar M (2006) A survey of research and practices of network-on-chip. ACM, New York, p 1

    Google Scholar 

  7. Shekhar B (2007) Thousand core chips: a technology perspective. In: Proceedings of the 44th annual design automation conference, San Diego, CA. ACM, New York

    Google Scholar 

  8. Kuei-Chung C, Jih-Sheng S, Tien-Fu C (2008) Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. ACM, New York, pp 1–31

    Google Scholar 

  9. Pascal TW, Gerard JMS, Gerard KR, Lodewijk TS (2005) An energy-efficient reconfigurable circuit-switched network-on-chip. In: Proceedings of the 19th IEEE international parallel and distributed processing symposium (IPDPS’05), workshop 3, vol 04. IEEE Comput Soc, Los Alamitos

    Google Scholar 

  10. Miquel P, Adrian C, Francisco JC, Ruben G et al (2007) A flexible heterogeneous multi-core architecture. In: Proceedings of the 16th international conference on parallel architecture and compilation techniques. IEEE Comput Soc, Los Alamitos

    Google Scholar 

  11. Lu R, Cao A, Koh C-K, A-bus SAMB (2007) A high performance bus architecture for system-on-chips,[J]. IEEE Trans Very Large Scale Integr Syst 15(1):69–79

    Article  Google Scholar 

  12. Salminen E, Lahtinen V, Kuusilinna K, Hamalainen T (2002) Overview of bus-based system-on-chip interconnections. In: IEEE int symp circuits syst, pp 372–375

    Google Scholar 

  13. Cordan B (1999) An efficient bus architecture for system-on-chip design. In: IEEE integrated circuits conference, pp 623–626

    Google Scholar 

  14. Erno S, Tero K, Timo DH et al (2006) HIBI communication network for system-on-chip. Kluwer Academic, Norwell, pp 185–205

    Google Scholar 

  15. Kanishka L, Anand R, Ganesh L (2001) LOTTERYBUS: a new high-performance communication architecture for system-on-chip designs. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York

    Google Scholar 

  16. Bainbridge WJ, Furber SB (1998) Asynchronous macrocell interconnect using MARBLE. In: Proceedings of the 4th international symposium on advanced research in asynchronous circuits and systems. IEEE Comput Soc, Los Alamitos

    Google Scholar 

  17. Kistler M, Perrone M, Petrini F (2006) Cell multiprocessor communication network: built for speed[J]. IEEE MICRO 26(3):10–23

    Article  Google Scholar 

  18. Hoskote Y, Vangal S, Singh A, Borkar N et al (2007) A 5-GHz mesh interconnect for a teraflops processor[J]. IEEE MICRO 27(5):51–61

    Article  Google Scholar 

  19. Chou S-H, Chena C-C, Wena C-N, Chena T-F et al (2011) Hierarchical circuit-switched NoC for multicore video processing [J]. Microprocess Microsyst 35(5):182–199 (Special issue on network-on-chip architectures and design methodologies)

    Article  Google Scholar 

  20. Drew W (2001) Micronetwork-based integration for SOCs: 673. In: Proceedings of the 38th annual design automation conference, Las Vegas, Nevada, USA. ACM, New York

    Google Scholar 

  21. Fanet A (2005) NoC: the arch key of IP integration methodology. In: Proc of MPSoC symp

    Google Scholar 

  22. Bailey B, Martin G, Piziali A (2007) In: ESL design and verification: a prescription for electronic system level methodology, San Francisco, CA. Kaufmann, Los Altos

    Google Scholar 

  23. Tuan VM, Katsura N, Matsutani H, Amano H (2009) Evaluation of a multicore reconfigurable architecture with variable core sizes. In: IEEE international symposium on parallel & distributed processing, 2009 (IPDPS 2009), pp 1–8

    Chapter  Google Scholar 

  24. Vu Manh T, Amano H (2007) A mapping method for multi-process execution on dynamically reconfigurable processors. In: International conference on field-programmable technology, 2007 (ICFPT 2007), pp 357–360

    Google Scholar 

  25. Hecht R, Kubisch S, Herrholtz A, Timmermann D (2005) Dynamic reconfiguration with hardwired networks-on-chip on future FPGAs. In: 14th int conf on field programmable logic and applications (FPL), pp 527–530

    Chapter  Google Scholar 

  26. Kees G, John D, Andrei R (2005) In: Æthereal network on chip: concepts, architectures, and implementations. IEEE Comput Soc, Los Alamitos, pp 414–421

    Google Scholar 

  27. Roman G, Israel C, Idit K (2007) NoC-based FPGA: architecture and routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos

    Google Scholar 

  28. Muhammad ESE, Abdelhafidh B A hardwired NoC infrastructure for embedded systems on FPGAs. Elsevier, Amsterdam, pp 200–216

  29. Elmiligi H, El-Kharashi M, Gebali F (2007) Introducing OperaNP: a reconfigurable NoC-based platform. In: IEEE Canadian conf on electrical and computer engineering, pp 940–943

    Chapter  Google Scholar 

  30. Fu B, Han Y, Ma J, Li H et al (2011) An abacus turn model for time/space-efficient reconfigurable routing. In: Proceedings of the 38th annual international symposium on computer architecture, San Jose, CA, USA. ACM, New York, pp 259–270

    Google Scholar 

  31. Ma S, Jerger NE, Wang Z (2011) DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 413–424

    Google Scholar 

  32. Mishra AK, Vijaykrishnan N, Das CR (2011) A case for heterogeneous on-chip interconnects for CMPs. In: Proceedings of the 38th annual international symposium on computer architecture. San Jose, CA, USA. ACM, New York, pp 389–400

    Google Scholar 

  33. Samuelsson H, Kumar S (2004) Ring road NoC architecture. In: Proceeding of norchip conference

    Google Scholar 

  34. Siguenza-Tortosa D, Nurmi J (2002) Proteo: a new approach to network-on-chip. In: Proceedings of IASTED international conference

    Google Scholar 

  35. Jong Wook K, Chu Shik J (2007) Torus ring: improving performance of interconnection network by modifying hierarchical ring. Elsevier, Amsterdam, pp 2–20

    Google Scholar 

  36. Bourduas S, Zilic Z (2007) A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing. In: Proceedings of the first international symposium on networks-on-chip. IEEE Comput Soc, Los Alamitos

    Google Scholar 

  37. Chen C-C, Wen C-N, Chan Y-C, Chen T-F, Wang C-C, Wang J-S (2009) No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. In: Proceedings of the 46th annual design automation conference, San Francisco, CA. ACM, New York

    Google Scholar 

  38. Faruque MAA, Ebi T, Henkel J (2007) Run-time adaptive on-chip communication scheme. In: Proceedings of the 2007 IEEE/ACM international conference on computer-aided design, San Jose, CA. IEEE Press, New York

    Google Scholar 

  39. Wang C, Zhang H, Zhou X, Ma H (2011) A study on cache mechanism in heterogeneous memory system[J]. Acta Electron Sin 39(6):1265–1271

    Google Scholar 

  40. Zheng L, Jueping C, Ming D, Lei Y et al (2010) Hybrid communication reconfigurable network on chip for MPSoC. In: 2010 24th IEEE international conference on advanced information networking and applications (AINA), Perth, WA, pp 356–361

    Chapter  Google Scholar 

  41. Gohringer D, Becker J (2010) High performance reconfigurable multi-processor-based computing on FPGAs. In: 2010 IEEE international symposium on parallel & distributed processing, workshops and Phd forum (IPDPSW), Atlanta, GA, pp 1–4

    Chapter  Google Scholar 

  42. Wang C, Zhang J, Zhou X, Feng X et al (2011) A flexible high speed star network based on peer to peer links on FPGA. In: 2011 IEEE 9th international symposium on parallel and distributed processing with applications (ISPA), pp 107–112

    Chapter  Google Scholar 

  43. Wang C, Li X, Zhou X, Feng X (2012) CRAIS: a crossbar based adaptive interconnection scheme. In: Proceedings of the 8th international conference on reconfigurable computing: architectures, tools and applications, Hong Kong, China. Springer, Berlin, pp 379–384

    Chapter  Google Scholar 

  44. Chung ES, Hoe JC, Mai K (2011) CoRAM: an in-fabric memory architecture for FPGA-based computing. In: Proceedings of the 19th ACM/SIGDA international symposium on field programmable gate arrays, Monterey, CA, USA. ACM, New York

    Google Scholar 

  45. Carpenter A, Hu J, Xu J, Huang M et al (2011) A case for globally shared-medium on-chip interconnect. In: Proceedings of the 38th annual international symposium on computer architecture. ACM, New York, pp 271–282

    Google Scholar 

  46. Wang C, Zhang J, Zhou X, Feng X et al (2011) SOMP: service-oriented multi processors. In: Proceedings of the 2011 IEEE international conference on services computing. IEEE Comput Soc, Los Alamitos, pp 709–716

    Chapter  Google Scholar 

  47. Rosinger H-P (2004) Connecting customized IP to the MicroBlaze soft processor using the fast simplex link (FSL) channel. Xilinx Inc

Download references

Acknowledgements

This work was supported by a grant from Jiangsu provincial Natural Science Foundation “Study of Task Parallelization on Service-oriented Heterogeneous Reconfigurable Multiprocessor System-on-chip”, and Jiangsu production-teaching-research joint innovation project (No. BY2009128). This paper has benefited from generous support and help from many sources. The authors would like to also express their deepest gratitude to Professor Jim Martin, Oliver Diessel, Ray Cheung, the reviewers, and editors for their valuable comments and suggestions that helped to improve this manuscript.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chao Wang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, C., Li, X., Zhang, J. et al. A star network approach in heterogeneous multiprocessors system on chip. J Supercomput 62, 1404–1424 (2012). https://doi.org/10.1007/s11227-012-0810-x

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-012-0810-x

Keywords

Navigation