Skip to main content

Advertisement

Log in

A joint optimization method for NoC topology generation

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The increasing demand on efficient intra-chip communication of multicore systems has driven the interconnection structure to evolve from bus/ring to Network-on-Chip (NoC). NoC design is fundamentally based on network topology generation and floorplanning. This paper proposes a joint optimization method to generate network topologies based on the floorplanning of heterogeneous IP cores for a given application-specific NoC. This method starts with clustering the heterogeneous IP cores according to their communication workloads using fuzzy clustering. It proceeds to apply a genetic algorithm to optimize the floorplanning by minimizing power consumption and/or chip area. By adding a router to each cluster of IP cores, network topologies are further generated via connecting routers based on the principles of scale-free networks. Experiments with a video processing application show that the optimized floorplanning of IP cores can be achieved by either minimizing the power consumption or chip area. An OPNET simulator is used to evaluate the performance of the NoC designed based on the proposed method. Experimental results demonstrate that the performance requirements of the application-specific NoC can be satisfied.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. Li Y, Akesson B, Goossens K (2016) Architecture and analysis of a dynamically-scheduled real-time memory controller. Real-Time Syst 52(5):675–729

    Article  Google Scholar 

  2. Adyanthaya S, Ara H et al (2015) xCPS: a tool to explore cyber physical systems. In: Proceedings of the WESE'15: workshop on embedded and cyber-physical systems education, Amsterdam, Netherlands. https://doi.org/10.1145/2832920.2832923

  3. Chen X et al (2014) Variation-aware layer assignment with hierarchical stochastic optimization on a multicore platform. IEEE Trans Emerg Top Comput 2(4):488–500

    Article  Google Scholar 

  4. Deligiannidis L, Arabnia HR (2014) Parallel video processing techniques for surveillance applications. In: International Conference on Computational Science and Computational Intelligence (CSCI), pp 183–189

  5. Choche A, Arabnia HR (2011) A methodology to conceal QR codes for security applications. In: Proceedings of the International Conference on Information and Knowledge Engineering (IKE’11)

  6. Ding H, Gu H, Li B Du K (2012) Configuring algorithm for reconfigurable Network-on-Chip architecture. In: International Conference on Consumer Electronics, Communications and Networks (CECNet), pp 222–225

  7. Ji R, Xu J, Yang L (2013) Five-port optical router based on microring switches for photonic networks-on-chip. IEEE Photon Technol Lett 25(5):492–495

    Article  Google Scholar 

  8. Ye Y, Xu J, Huang B et al (2013) 3-D mesh-based optical Network-on-Chip for multiprocessor system-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 32(4):584–596

    Article  Google Scholar 

  9. Wu X, Xu J, Ye Y et al (2014) SUOR: sectioned undirectional optical ring for chip multiprocessor. ACM J Emerg Technol Comput Syst 10(4):228–239

    Article  Google Scholar 

  10. Majumder T, Pande PP, Kalyanaraman A (2014) Wireless NoC platforms with dynamic task allocation for maximum likelihood phylogeny reconstruction. IEEE Des Test 31(3):54–64

    Article  Google Scholar 

  11. Murray J, Tang N, Pande PP et al (2015) DVFS pruning for wireless NoC architectures. IEEE Des Test 32(2):29–38

    Article  Google Scholar 

  12. Kulkarni VV, Lim WY, et al (2016) A 5.1 Gb/s 60.3 fJ/bit/mm PVT tolerant NoC transceiver. In: 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp 141–144

  13. Li C-L, Yoo J-C, Han TH (2016) Energy-efficient custom topology generation for link-failure-aware Network-on-Chip in voltage-frequency island regime. J Semicond Technol Sci 16(6):832–841

    Article  Google Scholar 

  14. Li KSM (2013) CusNoC: fast full-chip custom NoC generation. IEEE Trans Very Large Scale Integr VLSI Syst 21(4):692–705

    Article  Google Scholar 

  15. Yu B, Dong S, Chen S, Goto S (2010) Floorplanning and topology generation for application-specific Network-on-Chip. In: 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp 535–540

  16. Yu Z, Xiang D, Wang X (2015) Balancing virtual channel utilization for deadlock-free routing in torus networks. J Supercomput 71(8):3094–3115

    Article  Google Scholar 

  17. Soohyun K, Pasricha S, Jeonghun C (2011) POSEIDON: a framework for application-specific Network-on-Chip synthesis for heterogeneous chip multiprocessors. In: Proceedings of 2011 12th International Symposium on Quality Electronic Design (ISQED), pp 1–7

  18. Soumya J, Kumar KN, Chattopadhyay S (2015) Integrated core selection and mapping for mesh based Network-on-Chip design with irregular core sizes. J Syst Archit 61(9):410–422

    Article  Google Scholar 

  19. Khoshkbarforoushha A, Ranjan R, Gaire R, Abbasnejad E, Wang L, Zomaya AY (2017) Distribution based workload modelling of continuous queries in clouds. IEEE Trans Emerg Top Comput 5(1):120–133

    Article  Google Scholar 

  20. Dumitriu V, Khan GN (2009) Throughput-oriented NoC topology generation and analysis for high performance SoCs. IEEE Trans Very Large Scale Integr VLSI Syst 17(10):1433–1446

    Article  Google Scholar 

  21. Leary G, Srinivasan K, Mehta K et al (2009) Design of Network-on-Chip architectures with a genetic algorithm-based technique. IEEE Trans Very Large Scale Integr (VLSI) Syst 17(5):674–687

    Article  Google Scholar 

  22. Choudhary N, Gaur MS, Laxmi V et al (2011) GA based congestion aware topology generation for application specific NoC. In: Proceedings of 6th IEEE International Symposium in Electronic Design, Test and Application (DELTA), pp 93–98

  23. Wang Z, Liu W, Xu J et al (2014) A systematic Network-on-Chip traffic modeling and generation methodology. In: Proceedings of 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp 675–678

  24. Murali S, Benini L, De Micheli G (2007) An application-specific design methodology for on-chip crossbar generation. IEEE Trans Comput Aided Des Integr Circuits Syst 26(7):1283–1296

    Article  Google Scholar 

  25. Tosun S, Ajabshir V, Mercanoglu O et al (2015) Fault-tolerant topology generation method for application-specific Network-on-Chips. IEEE Trans Comput Aided Des Integr Circuits Syst 34(9):1495–1508

    Article  Google Scholar 

  26. Murali S, Meloni P, Angiolini F et al (2006) Designing application-specific Networks on Chips with floorplan information. In: Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp 355–362

  27. Deniziak S, Tomaszewski R (2009) Contention-avoiding custom topology generation for Network-on-Chip. In: Proceedings of 12th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), pp 234–237

  28. Chan J, Parameswaran S (2008) NoCOUT: NoC topology generation with mixed packet-switched and point-to-point networks. In: Proceedings of Asia and South Pacific in Design Automation Conference (ASPDAC), pp 265–270

  29. Tino A, Khan GN (2011) Multi-objective Tabu Search based topology generation technique for application-specific Network-on-Chip architectures. In: Proceedings of Design, Automation and Test in Europe Conference & Exhibition (DATE), pp 1–6

  30. Wang K, Dong S (2014) Post-floorplanning power optimization for MSV-driven application specific NoC design. In: Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), pp 994–997

  31. Yu S, Ge F, Feng G et al (2013) A two-phase floorplanning approach for application-specific Network-on-Chip. In: Proceedings of IEEE 10th International Conference on ASIC, pp 1–4

  32. Hao J, Yin J, Zhang B (2007) Structural fault tolerance of scale-free networks. Tsinghua Sci Technol 12(S1):246–249

    Article  Google Scholar 

  33. Duraisamy K, Lu H, Pande P et al (2015) High performance and energy efficient wireless NoC-enabled multicore architecture for graph analytics. In: Proceedings of International Conference on Compilers, Architectures and Synthesis of Embedded Systems (CASES), pp 147–156

  34. Hollis SJ, Jackson C, Bogdan P et al (2014) Exploiting emergence in on-chip interconnects. IEEE Trans Comput 63(3):570–582

    Article  MathSciNet  MATH  Google Scholar 

  35. Wan-Yu L, Jiang IHR (2008) Topology generation and floorplanning for low power application-specific Network-on-Chips. In: Proceedings of IEEE International Symposium on VLSI design, automation and test (VLSI-DAT), pp 283–286

  36. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of Design Automation Conference, pp 684–689

  37. Crespo F, Weber R (2005) A methodology for dynamic data mining based on fuzzy clustering. Fuzzy Sets Syst 150(2):267–284

    Article  MathSciNet  MATH  Google Scholar 

  38. Albert-laszlo B, Reka A (1999) Emergence of scaling in random networks. Science 286(5439):509–512

    Article  MathSciNet  MATH  Google Scholar 

  39. Park S, Qazi M, Peh L-S et al (2013) 40.4 fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45 nm SOI CMOS. In: Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), pp 1637–1642

  40. Bertozzi DJ, Srinivasan A, Tamhankar M, Stergiou R, Benini S, De Micheli LG (2005) NoC synthesis flow for customized domain specific multiprocessor systems-on-chip”. IEEE Trans Parallel Distrib Syst 16(2):113–129

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported by the National Science Foundation of China under Grants 61634004, and Grant 61472300, the Fundamental Research Funds for the Central Universities Grant No. JB180309 and No. JB170107, and the key research and development plan of Shaanxi province No. 2017ZDCXL-GY-05-01.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Huaxi Gu.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Li, Y., Wang, K., Gu, H. et al. A joint optimization method for NoC topology generation. J Supercomput 74, 2916–2934 (2018). https://doi.org/10.1007/s11227-018-2339-0

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-018-2339-0

Keywords

Navigation