Skip to main content

Advertisement

Log in

The survey on ARM processors for HPC

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The ongoing effort to reach the exascale computing barrier has led to a myriad of research and publications in the topic of alternative energy-efficient architectures, such as ARM, for HPC systems. The staggering pace at which ARM architectures have evolved has increased the volume of publications on this topic even more. A complex subject as the race to exascale touches on several aspects such as floating-point performance, scalability issues in coupled workloads, net energy consumption and ratio of energy to performance. In this context, we see the opportunity to contribute to this subject by: (1) analyzing the state of the art to identify essential papers; (2) highlighting important developments of ARM architecture in support to HPC; (3) discussing both positive and negative trends observed regarding the use of ARM for HPC; and (4) listing key topics concerning the use of ARM for exascale computing, along with distinguished references for each one.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  1. HPC Case Study: CFD Applications on ARM. https://developer.arm.com/-/media/developer/products/software-tools/hpc/Documentation/UCAMB_Arm_CFDvFinal.pdf. Accessed 24 Apr 2019

  2. Linaro releases. https://releases.linaro.org/components/toolchain/gcc-linaro. Accessed 5 May 2019

  3. Viso geral sobre intel advanced vector extensions 512. https://www.intel.com.br/content/www/br/pt/architecture-and-technology/avx-512-overview.html. Accessed 22 Sept 2017

  4. Linaro. https://www.linaro.org/. Accessed 5 May 2019

  5. Openhpc releases (2019). https://github.com/openhpc/ohpc/releases/. Accessed 5 May 2019

  6. Abdurachmanov D, Bockelman B, Elmer P, Eulisse G, Knight R, Muzaffar S (2015) Heterogeneous high throughput scientific computing with apm x-gene and intel xeon phi. J Phys Conf Ser 608(1):012033

    Article  Google Scholar 

  7. Abdurachmanov D, Elmer P, Eulisse G, Knight R, Niemi T, Nurminen JK, Nyback F, Pestana G, Ou Z, Khan K (2015) Techniques and tools for measuring energy efficiency of scientific software applications. J Phys Conf Ser 608:012032

    Article  Google Scholar 

  8. Abdurachmanov D, Elmer P, Eulisse G, Muzaffar S (2014) Initial explorations of arm processors for scientific computing. J Phys Conf Ser 523(1):012009

    Article  Google Scholar 

  9. Addiego N (2017) Evaluation of the efficiency of an ARM-based beowulf cluster versus traditional desktop computing for high performance computing. Master’s thesis, University of San Diego

  10. Adhianto L, Banerjee S, Fagan M, Krentel M, Marin G, Mellor-Crummey J, Tallent NR (2010) Hpctoolkit: tools for performance analysis of optimized parallel programs. Concurr Comput Pract Exp 22(6):685–701

    Google Scholar 

  11. Allalen M, Brayford D, Tafani D, Weinberg V, Mohr B, Brömmel D, Halver R, Meinke J, Mohanty S (2015) The mont-blanc project: First phase successfully finished. arXiv preprint arXiv:1508.05075

  12. ARM: ARM Architecture Reference Manual—ARMv7-A and ARMv7-R edition. https://static.docs.arm.com/ddi0406/c/DDI0406C_C_arm_architecture_reference_manual.pdf (2014). Accessed 24 Apr 2019

  13. Armejach A, Caminal H, Cebrian JM, Langarita R, González-Alberquilla R, Adeniyi-Jones C, Valero M, Casas M, Moretó M (2019) Using arms scalable vector extension on stencil codes. J Supercomput. https://doi.org/10.1007/s11227-019-02842-5

  14. Azimi R, Fox T, Gonzalez W, Reda S (2018) Scale-out vs scale-up: a study of arm-based socs on server-class workloads. ACM Trans Model Perform Eval Comput Syst (TOMPECS) 3(4):18

    Google Scholar 

  15. Azimi R, Zhan X, Reda S (2015) How good are low-power 64-bit socs for server-class workloads? In: 2015 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 116–117

  16. Banchelli F, Garcia M, Josep M, Mantovani F, Morillo J, Peiro K, Ramirez G, Teruel X, Mc Evoy G, Wanza J, Gracia J, Lumi A, Ganellari D, Schiffmann P (2019) Mb3 d6.9—performance analysis of applications and mini-applications and benchmarking on the project test platforms. Tech. rep. https://www.montblanc-project.eu/wp-content/uploads/2019/02/MB3_D6.9_Performance-analysis-of-applications-and-benchmarking-on-the-project-test-platforms.v1.0.pdf. Accessed 24 Apr 2019

  17. Barr J (2018) EC2 Instances (A1) Powered by Arm-Based AWS Graviton Processors. https://aws.amazon.com/blogs/aws/new-ec2-instances-a1-powered-by-arm-based-aws-graviton-processors. Accessed 24 Apr 2019

  18. Barrett BW, Brightwell R, Grant R, Hammond SD, Hemmert KS (2014) An evaluation of mpi message rate on hybrid-core processors. Int J High Perform Comput Appl 28(4):415–424. https://doi.org/10.1177/1094342014552085

    Article  Google Scholar 

  19. Beserra D, Pinheiro MK, Souveyet C, Steffenel LA, Moreno ED (2017) Performance evaluation of os-level virtualization solutions for hpc purposes on soc-based systems. In: 2017 IEEE 31st International Conference on Advanced Information Networking and Applications (AINA), IEEE, pp 363–370

  20. Bez JL, Bernart EE, Santos FF, Schnorr LM, Navaux POA (2016) Performance and energy efficiency analysis of HPC physics simulation applications in a cluster of arm processors. Pract Exp Concurr Comput 29:e4014

    Article  Google Scholar 

  21. Blem E, Menon J, Sankaralingam K (2013) Power struggles: revisiting the risc vs. cisc debate on contemporary arm and x86 architectures. In: 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA2013), IEEE, pp 1–12

  22. Boggs D, Brown G, Tuck N, Venkatraman K (2015) Denver: Nvidia’s first 64-bit arm processor. IEEE Micro 35(2):46–55

    Article  Google Scholar 

  23. Brash D (2010) Extensions to the armv7-a architecture. In: 2010 IEEE Hot Chips 22 Symposium (HCS), IEEE, pp 1–21

  24. Bratt I (2018) Arm’s First Generation Machine Learning Processor. https://www.hotchips.org/hc30/2conf/2.07_ARM_ML_Processor_HC30_ARM_2018_08_17.pdf. Accessed 24 Apr 2019

  25. Bull D, Das S, Shivashankar K, Dasika GS, Flautner K, Blaauw D (2011) A power-efficient 32 bit arm processor using timing-error detection and correction for transient-error tolerance and adaptation to pvt variation. IEEE J Solid-State Circ 46(1):18–31

    Article  Google Scholar 

  26. Calore E, Mantovani F, Ruiz D (2018) Advanced performance analysis of hpc workloads on cavium thunderx. In: 2018 International Conference on High Performance Computing & Simulation (HPCS), IEEE, pp 375–382

  27. Canuto M, Bosch R, Macias M, Guitart J (2016) A methodology for full-system power modeling in heterogeneous data centers. In: Proceedings of the 9th International Conference on Utility and Cloud Computing, ACM, pp 20–29

  28. Castelló A, Duato J, Mayo R, Peña AJ, Quintana-Ortí ES, Roca V, Silla F (2014) On the use of remote gpus and low-power processors for the acceleration of scientific applications. In: The Fourth International Conference on Smart Grids, Green Communications and IT Energy-aware Technologies (ENERGY), pp 57–62

  29. Choi J, Dukhan M, Liu X, Vuduc R (2014) Algorithmic time, energy, and power on candidate hpc compute building blocks. In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, IEEE, pp 447–457

  30. Cloutier MF, Paradis C, Weaver VM (2014) Design and analysis of a 32-bit embedded high-performance cluster optimized for energy and performance. In: Proceedings of the 1st International Workshop on Hardware-Software Co-Design for High Performance Computing, IEEE Press, pp 1–8

  31. Cong J, Huang M, Wu D, Yu CH (2016) Heterogeneous datacenters: options and opportunities. In: Proceedings of the 53rd Annual Design Automation Conference, ACM, p 16

  32. Corni E, Morganti L, Morigi MP, Brancaccio R, Bettuzzi M, Levi G, Peccenini E, Cesini D, Ferraro A (2016) X-ray computed tomography applied to objects of cultural heritage: Porting and testing the filtered back-projection reconstruction algorithm on low power systems-on-chip. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 369–372

  33. D’Agostino D, Quarati A, Clematis A, Morganti L, Corni E, Giansanti V, Cesini D, Merelli I (2019) Soc-based computing infrastructures for scientific applications and commercial services: performance and economic evaluations. Future Gener Comput Syst 96:11–22

    Article  Google Scholar 

  34. De Gelas J (2017) AppliedMicro’s X-Gene 3 SoC Begins Sampling: A Step in ARM’s 2017 Server Ambitions. https://www.anandtech.com/show/11189/appliedmicro-x-gene-3-soc-starts-sampling. Accessed 24 Apr 2019

  35. Duran A, Ayguadé E, Badia RM, Labarta J, Martinell L, Martorell X, Planas J (2011) Ompss: a proposal for programming heterogeneous multi-core architectures. Parallel Process Lett 21(02):173–193

    Article  MathSciNet  Google Scholar 

  36. Durand Y, Carpenter PM, Adami S, Bilas A, Dutoit D, Farcy A, Gaydadjiev G, Goodacre J, Katevenis M, Marazakis M et al (2014) Euroserver: Energy efficient node for european micro-servers. In: 2014 17th Euromicro Conference on Digital System Design (DSD), IEEE, pp 206–213

  37. Elangovan VK, Badia RM, Parra EA (2012) Ompss-opencl programming model for heterogeneous systems. In: International Workshop on Languages and Compilers for Parallel Computing, Springer, pp 96–111

  38. Feng W, Scogland T. Green500. https://www.top500.org/green500/. Accessed 5 May 2019

  39. Ferreron A, Jagtap R, Rusitoru R (2016) Identifying representative regions of parallel hpc applications: a cross-architectural evaluation. In: 2016 IEEE International Symposium on Workload Characterization (IISWC), IEEE, pp 1–2

  40. Fialho L, Gracia J, Nigay A, Evoy M (2019) Mb3 d7.13—final report on enhancements to message passing. Tech. rep. https://www.montblanc-project.eu/wp-content/uploads/2019/02/MB3_D7.13_Final-report-on-enhancements-to-message-passing-2.pdf. Accessed 24 Apr 2019

  41. Filiposka S, Mishev A, Juiz C (2016) Current prospects towards energy-efficient top hpc systems. Comput Sci Inf Syst 13(1):151–171

    Article  Google Scholar 

  42. Fox T (2017) Revisiting the case of arm socs in high-performance computing clusters. Ph.D. thesis, School of Engineering, Brown University

  43. Frid N, Ivošević D, Sruk V (2015) Heterogeneity impact on mpsoc platforms performance. In: 2015 38th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), IEEE, pp 1071–1076

  44. Geveler M, Ribbrock D, Donner D, Ruelmann H, Höppke C, Schneider D, Tomaschewski D, Turek S (2016) The icarus white paper: a scalable, energy-efficient, solar-powered hpc center based on low power gpus. In: European Conference on Parallel Processing, Springer, pp 737–749

  45. Gómez C, Martínez F, Armejach A, Moretó M, Mantovani F, Casas M (2019) Design space exploration of next-generation HPC machines. Barcelona Supercomputing Center. http://hdl.handle.net/2117/131511

  46. Grant RE, Levenhagen M, Olivier SL, DeBonis D, Pedretti KT, Laros JH III (2016) Standardizing power monitoring and control at exascale. Computer 49(10):38–46

    Article  Google Scholar 

  47. Grass T, Allande C, Armejach A, Rico A, Ayguadé E, Labarta J, Valero M, Casas M, Moreto M (2016) Musa: a multi-level simulation approach for next-generation hpc machines. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, IEEE, pp 526–537

  48. Grasso I, Radojkovic P, Rajovic N, Gelado I, Ramirez A (2014) Energy efficient hpc on embedded socs: Optimization techniques for mali gpu. In: 2014 IEEE 28th International on Parallel and Distributed Processing Symposium, IEEE, pp 123–132

  49. Griessl R, Peykanu M, Hagemeyer J, Porrmann M, Krupop S, vor dem Berge M, Kiesel T, Christmann W (2014) A scalable server architecture for next-generation heterogeneous compute clusters. In: 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing (EUC), IEEE, pp 146–153

  50. Gu B, Kwak Y (2016) Map task allocation strategy in an arm-based hadoop cluster by using local storage as split cache. Int J Adv Media Commun 6(1):65–72

    Article  Google Scholar 

  51. Gwennap L (2017) Performance Arms X-Gene 3 for Cloud. https://www.linleygroup.com/uploads/x-gene-3-for-cloud.pdf. Accessed 24 Apr 2019

  52. Halpern M, Zhu Y, Reddi VJ (2016) Mobile cpu’s rise to power: Quantifying the impact of generational mobile cpu design trends on performance, energy, and user satisfaction. In: 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), IEEE, pp 64–76

  53. Huawei (2019)Huawei Unveils Industry’s Highest-Performance ARM-based CPU. https://www.huawei.com/en/press-events/news/2019/1/huawei-unveils-highest-performance-arm-based-cpu. Accessed 24 Apr 2019

  54. Iliescu DA, Petrogalli F. Arm scalable vector extension and application to machine learning. Tech. rep., ARM. https://developer.arm.com/solutions/hpc/resources/hpc-white-papers/arm-scalable-vector-extensions-and-application-to-machine-learning. Whitepaper Accessed 24 Apr 2019

  55. Jackson A, Turner A, Weiland M, Johnson N, Perks O, Parsons M (2019) Evaluating the arm ecosystem for high performance computing. arXiv preprint arXiv:1904.04250

  56. Jacquet D, Hasbani F, Flatresse P, Wilson R, Arnaud F, Cesana G, Di Gilio T, Lecocq C, Roy T, Chhabra A et al (2014) A 3 ghz dual core processor arm cortex tm-a9 in 28 nm utbb fd-soi cmos with ultra-wide voltage range and energy efficiency optimization. IEEE J Solid-State Circ 49(4):812–826

    Article  Google Scholar 

  57. Jarus M, Varrette S, Oleksiak A, Bouvry P (2013) Performance evaluation and energy efficiency of high-density hpc platforms based on intel, amd and arm processors. In: European Conference on Energy Efficiency in Large Scale Distributed Systems, Springer, pp 182–200

  58. Jin C, de Supinski BR, Abramson D, Poxon H, DeRose L, Dinh MN, Endrei M, Jessup ER (2016) A survey on software methods to improve the energy efficiency of parallel computing. Int J High Perform Comput Appl 31:1094342016665471

    Google Scholar 

  59. Jundt A, Cauble-Chantrenne A, Tiwari A, Peraza J, Laurenzano MA, Carrington L (2015) Compute bottlenecks on the new 64-bit arm. In: Proceedings of the 3rd International Workshop on Energy Efficient Supercomputing, ACM, p 6

  60. Jung YW, Sok SW, Santoso GZ, Shin JS, Kim HY (2015) Prototype of light-weight hypervisor for arm server virtualization. In: Proceedings of the International Conference on Embedded Systems and Applications (ESA). The Steering Committee of The World Congress in Computer Science, Computer Engineering and Applied Computing (WorldComp), p 36

  61. Kaewkasi C, Srisuruk W (2014) Optimizing performance and power consumption for an arm-based big data cluster. In: TENCON 2014-2014 IEEE Region 10 Conference, IEEE, pp 1–6

  62. Kaewkasi C, Srisuruk W (2014) A study of big data processing constraints on a low-power hadoop cluster. In: 2014 International Computer Science and Engineering Conference (ICSEC), IEEE, pp 267–272

  63. Kalyanasundaram J, Simmhan Y (2017) Arm wrestling with big data: A study of arm64 and x64 servers for data intensive workloads. arXiv preprint arXiv:1701.05996

  64. Kecskemeti G, Hajji W, Tso FP (2017) Modelling low power compute clusters for cloud simulation. In: 2017 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP), IEEE, pp 39–45

  65. Kennedy P. Intel Atom C2550 Benchmarks - 4 core Avoton tested. https://www.servethehome.com/intel-atom-c2550-benchmarks-4-core-avoton-tested. Accessed on 24 Apr 2019

  66. Kodama Y, Odajima T, Asato A, Sato M (2019) Evaluation of the riken post-k processor simulator. arXiv preprint arXiv:1904.06451

  67. Kumar D, Memon S, Thebo LA (2018) Design, implementation & performance analysis of low cost high performance computing (hpc) clusters. In: 2018 12th International Conference on Signal Processing and Communication Systems (ICSPCS), IEEE, pp 1–6

  68. Laurenzano MA, Tiwari A, Cauble-Chantrenne A, Jundt A, Ward WA, Campbell R, Carrington L (2016) Characterization and bottleneck analysis of a 64-bit armv8 platform. In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp 36–45. https://doi.org/10.1109/ISPASS.2016.7482072

  69. Lee Y, Kim S (2015) Empirical characterization of power efficiency for large scale data processing. In: 2015 17th International Conference on Advanced Communication Technology (ICACT), IEEE, pp 787–790

  70. Loghin D, Tudor BM, Zhang H, Ooi BC, Teo YM (2015) A performance study of big data on small nodes. Proc VLDB Endow 8(7):762–773

    Article  Google Scholar 

  71. Lorenzon AF, Cera MC, Beck ACS (2015) On the influence of static power consumption in multicore embedded systems. In: 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp 1374–1377

  72. Lorenzon AF, Sartor AL, Cera MC, Beck ACS (2015) Optimized use of parallel programming interfaces in multithreaded embedded architectures. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, pp 410–415

  73. Luecke GR, Groth BM, Weeks NT, Kraeva M (2017) Comparing allinea’s and intel’s performance tools for hpc. In: Proceedings of the 25th High Performance Computing Symposium, HPC ’17, pp. 3:1–3:12. Society for Computer Simulation International, San Diego, CA, USA. http://dl.acm.org/citation.cfm?id=3108096.3108099

  74. Mantovani F, Calore E (2018) Performance and power analysis of hpc workloads on heterogeneous multi-node clusters. J Low Power Electron Appl 8(2):13

    Article  Google Scholar 

  75. Mantovani F, Ruiz D, Vilarrubi O, Martorell X, Nieto D, Auweter A, Tafani D, Adeniyi-Jones C, Gloaguen H, Utrera G (2015) D5.11—Final report on porting and tuning of system software to ARM architecture. Tech. rep. https://www.montblanc-project.eu/wp-content/uploads/2019/01/D7.17-Final-report-on-Arm-optimized-Fortran-compiler-and-math-libraries.pdf. Accessed 24 Apr 2019

  76. Mappuji A, Effendy N, Mustaghfirin M, Sondok F, Yuniar RP, Pangesti SP (2016) Study of raspberry pi 2 quad-core cortex-a7 cpu cluster as a mini supercomputer. In: 2016 8th International Conference on Information Technology and Electrical Engineering (ICITEE), IEEE, pp 1–4

  77. Maqbool J, Oh S, Fox GC (2015) Evaluating arm hpc clusters for scientific workloads. Concurr Comput Pract Exp 27(17):5390–5410

    Article  Google Scholar 

  78. Maynard C, Selwood P (2016) Exascale computing research priorities for the met office forecasting research technical report no: 611

  79. McCalpin J. STREAM Benchmark results. https://www.cs.virginia.edu/stream/by_date/Bandwidth.html. Accessed 24 Apr 2019

  80. McCalpin JD (2016) Memory bandwidth and system balance in HPC systems. SC16 Invited Talk. http://tiny.cc/ipvh7y. Accessed 5 May 2019

  81. McCalpin JD (1995) Memory bandwidth and machine balance in current high performance computers. IEEE Comput Soc Tech Comm Comput Arch (TCCA) Newslett 2:19–25

    Google Scholar 

  82. McIntoshSmith S, Price J, Deakin T, Poenaru A (2019) A performance analysis of the first generation of hpc-optimized arm processors. Pract Exp Concurr Comput. https://doi.org/10.1002/cpe.5110

    Article  Google Scholar 

  83. Mellanox: InfiniBand Cards - Overview. http://www.mellanox.com/page/infiniband_cards_overview (2014). Accessed 24 Apr 2019

  84. Milluzzi A, George A, Lam H (2016) Computational and memory analysis of tegra socs. In: 2016 IEEE High Performance Extreme Computing Conference (HPEC), pp 1–7. https://doi.org/10.1109/HPEC.2016.7761602

  85. Moorthy P, Kapre N (2015) Zedwulf: Power-performance tradeoffs of a 32-node zynq soc cluster. In: 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), IEEE, pp 68–75

  86. Morales F, Bismarck JL (2016) Evaluating gem5 and qemu virtual platforms for arm multicore architectures. Master’s thesis, KTH, School of Information and Communication Technology (ICT)

  87. Morganti L, Cesini D, Ferraro A (2016) Evaluating systems on chip through hpc bioinformatic and astrophysic applications. In: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), IEEE, pp 541–544

  88. Nikolskiy V, Stegailov V (2016) Floating-point performance of arm cores and their efficiency in classical molecular dynamics. J Phys Conf Ser 681(1):012049

    Article  Google Scholar 

  89. NVIDIA: Tegra K1 Technical Reference Manual. http://loke.as.arizona.edu/~ckulesa/binaries/ONR/TegraK1_TRM_DP06905001.pdf (2014). Accessed 24 Apr 2019

  90. Oyarzun G, Borrell R, Gorobets A, Mantovani F, Oliva A (2018) Efficient cfd code implementation for the arm-based mont-blanc architecture. Future Gener Comput Syst 79:786–796

    Article  Google Scholar 

  91. Plugaru V, Varrette S, Bouvry P (2014) Performance analysis of cloud environments on top of energy-efficient platforms featuring low power processors. In: 2014 IEEE 6th International Conference on Cloud Computing Technology and Science (CloudCom), IEEE, pp 416–425

  92. Pruitt DD, Freudenthal EA (2016) Preliminary investigation of mobile system features potentially relevant to hpc. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, IEEE Press, pp 54–60

  93. Puzović M, Manne S, GalOn S, Ono M (2016) Quantifying energy use in dense shared memory hpc node. In: Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, E2SC ’16, pp 16–23. IEEE Press, Piscataway, NJ, USA. https://doi.org/10.1109/E2SC.2016.7

  94. Raho M, Spyridakis A, Paolino M, Raho D (2015) Kvm, xen and docker: A performance analysis for arm based nfv and cloud computing. In: 2015 IEEE 3rd Workshop on Advances in IEEE Information, Electronic and Electrical Engineering (AIEEE), pp 1–8

  95. Rajovic N, Carpenter PM, Gelado I, Puzovic N, Ramirez A, Valero M (2013) Supercomputing with commodity cpus: Are mobile socs ready for hpc? In: 2013 SC-International Conference for High Performance Computing, Networking, Storage and Analysis (SC), IEEE, pp 1–12

  96. Rajovic N, Rico A, Mantovani F, Ruiz D, Vilarrubi JO, Gomez C, Backes L, Nieto D, Servat H, Martorell X, Labarta J, Ayguade E, Adeniyi-Jones C, Derradji S, Gloaguen H, Lanucara P, Sanna N, Mehaut JF, Pouget K, Videau B, Boyer E, Allalen M, Auweter A, Brayford D, Tafani D, Weinberg V, Brömmel D, Halver R, Meinke JH, Beivide R, Benito M, Vallejo E, Valero M, Ramirez A (2016) The mont-blanc prototype: An alternative approach for hpc systems. In: SC16: International Conference for High Performance Computing, Networking, Storage and Analysis, pp 444–455. https://doi.org/10.1109/SC.2016.37

  97. Rajovic N, Rico A, Puzovic N, Adeniyi-Jones C, Ramirez A (2014) Tibidabo: making the case for an arm-based hpc system. Future Gener Comput Syst 36:322–334

    Article  Google Scholar 

  98. Reeda R, Cox MA, Wrigley T, Mellado B (2015) A cpu benchmarking characterization of arm based processors. Computer 7(3):581–586

    Google Scholar 

  99. Rethinagiri SK, Palomar O, Moreno JA, Unsal O, Cristal A (2015) Trigeneous platforms for energy efficient computing of hpc applications. In: 2015 IEEE 22nd International Conference on High Performance Computing (HiPC), IEEE, pp 264–274

  100. Ross JA, Richie DA, Park SJ, Shires DR, Pollock LL (2014) A case study of opencl on an android mobile gpu. In: 2014 IEEE High Performance Extreme Computing Conference (HPEC), pp 1–6

  101. Rostirolla G, da Rosa Righi R, Rodrigues VF, Velho P, Padoin EL (2015) Greenhpc: a novel framework to measure energy consumption on hpc applications. In: Sustainable Internet and ICT for Sustainability (SustainIT), 2015, IEEE, pp 1–8

  102. Ruiz D, Mantovani F, Casas M, Labarta J, Spiga F (2018) The HPCG benchmark: analysis, shared memory preliminary improvements and evaluation on an arm-based platform. Polytechnic University of Catalonia. http://hdl.handle.net/2117/116642. Accessed 5 May 2019

  103. Rupp K. Knights Landing vs. Knights Corner, Haswell, Ivy Bridge, and Sandy Bridge: STREAM benchmark results. https://www.karlrupp.net/2016/07/knights-landing-vs-knights-corner-haswell-ivy-bridge-and-sandy-bridge-stream-benchmark-results. Accessed 24 Apr 2019

  104. Schulz KW, Baird CR, Brayford D, Georgiou Y, Kurtzer GM, Simmel D, Sterling T, Sundararajan N, Van Hensbergen E (2016) Cluster computing with openhpc. In: HPCSYSPROS16: Inaugural HPC systems professionals workshop. http://hdl.handle.net/2022/21082

  105. Schürmans S, Onnebrink G, Leupers R, Ascheid G, Chen X (2016) Frequency-aware esl power estimation for arm cortex-a9 using a black box processor model. ACM Trans Embed Comput Syst (TECS) 16(1):26

    Google Scholar 

  106. Selinger A, Rupp K, Selberherr S (2016) Evaluation of mobile arm-based socs for high performance computing. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 21

  107. Sheen SK (2016) Astro-a low-cost, low-power cluster for cpu-gpu hybrid computing using the jetson TK1. Master’s thesis, California Polytechnic State University. https://doi.org/10.15368/theses.2016.45

  108. Shore C. Porting to 64-bit arm. Tech. rep., ARM. https://community.arm.com/developer/ip-products/processors/b/processors-ip-blog/posts/porting-to-arm-64-bit. Whitepaper Accessed 24 Apr 2019

  109. Silvano C, Agosta G, Bartolini A, Beccari AR, Benini L, Bispo J, Cmar R, Cardoso JM, Cavazzoni C, Martinovič J et al (2016) Autotuning and adaptivity approach for energy efficient exascale hpc systems: the antarex approach. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 708–713. IEEE (2016)

  110. Sirin U, Appuswamy R, Ailamaki A (2016) Oltp on a server-grade arm: power, throughput and latency comparison. In: Proceedings of the 12th International Workshop on Data Management on New Hardware, ACM, p 10

  111. Stegailov V, Vecher V (2018) Efficiency analysis of intel, AMD and Nvidia 64-Bit hardware for memory-bound problems: a case study of Ab initio calculations with VASP, pp 81–90. https://doi.org/10.1007/978-3-319-78054-2_8

  112. Stephens N, Biles S, Boettcher M, Eapen J, Eyole M, Gabrielli G, Horsnell M, Magklis G, Martinez A, Premillieu N et al (2017) The arm scalable vector extension. IEEE Micro 37(2):26–39

    Article  Google Scholar 

  113. Stokke KR, Stensland HK, Griwodz C, Halvorsen P (2016) A high-precision, hybrid gpu, cpu and ram power model for generic multimedia workloads. In: Proceedings of the 7th International Conference on Multimedia Systems, MMSys ’16, pp 14:1–14:12. ACM, New York, NY, USA. https://doi.org/10.1145/2910017.2910591

  114. Stokke KR, Stensland HK, Halvorsen P, Griwodz C (2016) High-precision power modelling of the tegra k1 variable smp processor architecture. In: 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), pp 193–200. https://doi.org/10.1109/MCSoC.2016.28

  115. Strohmaier E, Dongarra J, Horst S, Meuer M, Meuer H (2019) Top 500 The List. https://www.top500.org/. Accessed 5 May 2019

  116. Sundriyal V, Fought E, Sosonkina M, Windus TL (2016) Power profiling and evaluating the effect of frequency scaling on nwchem. In: Society for Computer Simulation International Proceedings of the 24th High Performance Computing Symposium, p 19

  117. Thompson SE, Parthasarathy S (2006) Moore’s law: the future of si microelectronics. Mater today 9(6):20–25

    Article  Google Scholar 

  118. Tiwari A, Keipert K, Jundt A, Peraza J, Leang SS, Laurenzano M, Gordon MS, Carrington L (2015) Performance and energy efficiency analysis of 64-bit arm using gamess. In: Proceedings of the 2nd International Workshop on Hardware-Software Co-Design for High Performance Computing, ACM, p 8

  119. Trader T (2014) The exascale revolution. https://www.hpcwire.com/2014/10/23/exascale-revolution/. Accessed 23 July 2016

  120. Villebonnet V, Da Costa G, Lefevre L, Pierson JM, Stolf P (2014) Towards generalizing”” big little”” for energy proportional hpc and cloud infrastructures. In: 2014 IEEE Fourth International Conference on Big Data and Cloud Computing (BdCloud), IEEE, pp 703–710

  121. Weloli JW, Bilavarn S, Derradji S, Belleudy C, Lesmanne S (2016) Efficiency modeling and analysis of 64-bit arm clusters for hpc. In: 2016 Euromicro Conference on Digital System Design (DSD), pp 342–347. https://doi.org/10.1109/DSD.2016.74

  122. Whaley RC, Petitet A, Dongarra JJ (2001) Automated empirical optimizations of software and the atlas project. Parallel Comput 27(1–2):3–35

    Article  MATH  Google Scholar 

  123. Whatmough PN, Das S, Hadjilambrou Z, Bull DM (2017) Power integrity analysis of a 28 nm dual-core arm cortex-a57 cluster using an all-digital power delivery monitor. IEEE J Solid-State Circ 52(6):1643–1654

    Article  Google Scholar 

  124. Wrigleya G, Reed R, Mellado B (2015) Memory benchmarking characterisation of arm-based socs. Computer 7(3):607–617

    Google Scholar 

  125. Xie X (2016) Low-power technologies in high-performance computer: trends and perspectives. Natl Sci Rev 3(1):23–25

    Article  Google Scholar 

  126. Yoshida T (2018) Fujitsu high performance cpu for the post-k computer. In: Hot Chips 30 Symposium (HCS), Series Hot Chips, vol 18

  127. Zhang J, You S, Gruenwald L (2015) Tiny gpu cluster for big spatial data: A preliminary performance evaluation. In: 2015 IEEE 35th International Conference on Distributed Computing Systems Workshops (ICDCSW), IEEE, pp 142–147

  128. Zhirnov VV, Cavin RK, Hutchby JA, Bourianoff GI (2003) Limits to binary logic switch scaling-a gedanken model. Proc IEEE 91(11):1934–1939

    Article  Google Scholar 

  129. Zhu Y, Mattina M, Whatmough P (2018) Mobile machine learning hardware at arm: a systems-on-chip (soc) perspective. arXiv preprint arXiv:1801.06274

Download references

Acknowledgements

The authors would like to acknowledge the National Laboratory for Scientific Computing Postgraduate program, CAPES (Coordenação de Aperfeiçoamento de Pessoal de Nível Superior) Ph.D. fellowship, the Atos Company through the cooperation project of Research, Development and Training of Human Resources in Computational Modeling and High-Performance Computing (conditioned to the receipt of resources by the Fundação de Apoio ao Desenvolvimento da Computação Científica-FACC) and CNPq (Conselho Nacional de Desenvolvimento Científico e Tecnológico) Grant Number 309873/2013-4.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fábio Borges.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yokoyama, D., Schulze, B., Borges, F. et al. The survey on ARM processors for HPC. J Supercomput 75, 7003–7036 (2019). https://doi.org/10.1007/s11227-019-02911-9

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-019-02911-9

Keywords

Navigation