Skip to main content
Log in

Modern architecture for photonic networks-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Development in photonic integrated circuits (PICs) provides a promising solution for on-chip optical computation and communication. PICs provides the best alternative to traditional networks-on-chip (NoC) circuits which face serious challenges such as bandwidth, latency and power consumption. Integrated optics have substantiated the ability to accomplish low-power communication and low-power data processing at ultra-high speeds. In this work, we propose a new architecture for NoC, which might improve overall on-chip network performance by reducing its power consumption, providing large channel capacity for communication, decreasing latency among nodes and reducing hop count. Some of the key features of the proposed architecture are to reduce the waveguide network for communication among nodes, and this architecture can be used as a brick to construct other architectures. In this architecture, we use micro-ring resonator (MRR) and it is used to provide a high bandwidth connection among nodes with a lesser number of waveguide networks. Furthermore, results show that this architecture of PICs provides better performance in terms of low communication latency, low power consumption, high bandwidth. It also provides acceptable FSR value, FWHR value, finesse value and Q-factor of micro-ring resonators used for the design of MRR in this architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Werner S, Navaridas J, Luján M (2017) A survey on optical network-on-chip architectures. ACM Comput Surv (CSUR) 50(6):89

    Google Scholar 

  2. Bashir J, Peter E, Sarangi SR (2019) A survey of on-chip optical interconnects. ACM Comput Surv (CSUR) 51(6):115

    Article  Google Scholar 

  3. Chittamuru SVR, Dang D, Pasricha S, Mahapatra R (2018) BiGNoC: accelerating big data computing with application-specific photonic network-on-chip architectures. IEEE Trans Parallel Distrib Syst 29(11):2402–2415

    Article  Google Scholar 

  4. Kish F, Lal V, Evans P, Corzine SW, Ziari M, Butrie T, Reffle M et al (2018) System-on-chip photonic integrated circuits. IEEE J Select Top Quant Electron 24(1):1–20

    Article  Google Scholar 

  5. Shacham A, Bergman K, Carloni LP (2007) On the design of a photonic network-on-chip. In: IEEE Conference: First International Symposium on Networks-on-Chip (NOCS’07), p 12

  6. Mohseni Z, Reshadi M (2017) A deadlock-free routing algorithm for irregular 3D network-on-chips with wireless links. J Supercomput 74(2):953–969

    Article  Google Scholar 

  7. Bahrami B, Jamali MAJ, Saeidi S (2018) A novel hierarchical architecture for wireless network-on-chip. J Parallel Distrib Comput 120:1–48

    Article  Google Scholar 

  8. Salminen E, Kulmala A, Hamalainen TD (2008) Survey of network-on-chip proposals. White paper, OCP-IP 1, p 13

  9. Agarwal A, Iskander C, Shankar R (2009) Survey of network on chip (NOC) architectures and contributions. J Eng Comput Arch 3(1):21–27

    Google Scholar 

  10. Guo L, Ge Y, Hou W, Guo P, Cai Q, Wu J (2018) A novel IP-core mapping algorithm in reliable 3D optical network-on-chips. Opt Switch Netw 27:50–57. https://doi.org/10.1016/j.osn.2017.08.001

    Article  Google Scholar 

  11. Abadal S, Mestres A, Torrellas J, Alarcón E, Aparicio AC (2018) Medium access control in wireless network-on-chip: a context analysis. IEEE Commun Mag 56(6):172–178

    Article  Google Scholar 

  12. Charif A, Coelho A, Ebrahimi M, Bagherzadeh N, Zergainoh NE (2018) First-last: a cost-effective adaptive routing solution for TSV-based three-dimensional networks-on-chip. IEEE Trans Comput 67:1–16

    Article  MathSciNet  Google Scholar 

  13. He S, Xie K, Xie K, Xu C, Wang J (2019) Interference-aware multisource transmission in multi radio and multichannel wireless network. IEEE Syst J 13(3):2507–2518

    Article  Google Scholar 

  14. Chen W, Lea C, He S, Yuan ZX (2016) Opportunistic routing and scheduling for wireless networks. IEEE Trans Wirel Commun 16(1):320–331

    Article  Google Scholar 

  15. Li M, Sun Y, Lu H, Maharjan S, Tian Z (2019) Deep reinforcement learning for partially observable data poisoning attack in crowdsensing systems. IEEE Internet Things J. https://doi.org/10.1109/JIOT.2019.2962914

    Article  Google Scholar 

  16. Qiu J, Du L, Zhang D, Su S, Tian Z (2019) Nei-TTE: intelligent traffic time estimation based on fine-grained time derivation of road segments for smart city. IEEE Trans Ind Inf 16(4):2659–2666. https://doi.org/10.1109/TII.2019.2943906

    Article  Google Scholar 

  17. Peng W, Dong G, Yang K, Su J (2013) A random road network model and its effects on topological characteristics of mobile delay-tolerant networks. IEEE Trans Mob Comput 13(12):2706–2718

    Article  Google Scholar 

  18. Tian Z, Gao X, Su S, Qiu J (2019) Vcash: a novel reputation framework for identifying denial of traffic service in internet of connected vehicles. IEEE Internet Things J. https://doi.org/10.1109/JIOT.2019.2951620

    Article  Google Scholar 

  19. Zhou T, Jia H, Dai J, Yang S, Zhang L, Fu X, Yang L (2018) Rearrangeable-nonblocking five-port silicon optical switch for 2-D-meshnetwork on chip. IEEE Photon J 10(3):1–8. https://doi.org/10.1109/JPHOT.2018.2841401

    Article  Google Scholar 

  20. Calò G, Bellanca G, Kaplan AE, Fuschini F, Barbiroli M, Bozzetti M, Bassi P, Petruzzelli V (2018) Integrated Vivaldi antennas, an enabling technology for optical wireless networks on chip. In: Proceedings of the 3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems. ACM, p 1

  21. Beux SL, Trajkovic J, O’Connor I, Nicolescu G, Bois G, Paulin P (2011) Optical ring network-on-chip (ORNoC): architecture and design methodology. In: Design, Automation & Test in Europe Conference & Exhibition DATE, pp 1–6

  22. Karanth A, Kaya S, Sikder A, Carbaugh D, Laha S, DiTomaso D, Louri A, Xin H, Wu J (2018) Sustainability in network-on-chips by exploring heterogeneity in emerging technologies. IEEE Trans Sustain Comput 4(3):293–307

    Article  Google Scholar 

  23. Ou S, Yang K, Chen H (2010) Integrated dynamic bandwidth allocation in converged passive optical networks and IEEE 802.16 networks. IEEE Syst J 4(4):467–476

    Article  Google Scholar 

  24. Tian Z, Luo C, Qiu J, Du X, Guizani M (2019) A distributed deep learning system for Web attack detection on edge devices. IEEE Trans Ind Inf 16(3):1963–1971. https://doi.org/10.1109/TII.2019.2938778

    Article  Google Scholar 

  25. Tian Z, Shi W, Wang Y, Zhu C, Du X, Su S, Sun Y, Guizani N (2019) Real-time lateral movement detection based on evidence reasoning network for edge computing environment. IEEE Trans Ind Inf 15(7):4285–4294

    Article  Google Scholar 

  26. Open Access (2018). https://www.rp-photonics.com/silica_fibers.html

  27. Online Data Base (2018). https://refractiveindex.info/?shelf=main&book=SiO2&page=Radhakrishnan-o

  28. Rabus DG (2007) Integrated ring resonators. Springer, Berlin

    Google Scholar 

  29. FDTD Solutions (2018)

  30. Duong LHK, Nikdast M, Le Beux S, Xu J, Wu X, Wang W, Yang P (2014) A case study of signal-to-noise ratio in ring-based optical networks-on-chip. IEEE Des Test 31(5):55–65

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vivek Kumar Sehgal.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sharma, K., Sehgal, V.K. Modern architecture for photonic networks-on-chip. J Supercomput 76, 9901–9921 (2020). https://doi.org/10.1007/s11227-020-03220-2

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-020-03220-2

Keywords

Navigation