Skip to main content

Advertisement

Log in

GPU-oriented dynamic low-power data transmission method

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The model highly parallel graphics processor systems rely on high-bandwidth DRAM I/O interfaces for large volume of data access at the cost of a lot of energy consumption. In order to reduce the energy consumption of data transmission, modern DRAM uses an asymmetric pseudo-drain I/O interface, which increases the ratio of the termination energy to the transmission energy of logic value 1 in data transactions. This paper proposes the X + B Dynamic Bus Encoding Mechanism, which dynamically selects the encoding mechanism to reduce the number of logical values 1 within different data elements, thereby reducing the data transmission energy consumption of the modern DRAM data bus. The simulation evaluation shows the X + B Dynamic Bus Encoding Mechanism reduces the number of logical values 1 by 38.6%, which saves 31.4% of transmission energy consumption compared to the current bus coding technology.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Mittal S, Nag S (2019) A survey of encoding techniques for reducing data-movement energy. J Syst Archit 97:373–396

    Article  Google Scholar 

  2. Ayoub R, Orailoglu A (2005) A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise and delay on processor buses. In: Proceedings of the 2005 Asia and South Pacific Design Automation Conference. 729–734

  3. Sundstrom LL (1996) Adjustable voltage level shifter: U.S. Patent 5,528,172[P]. 6–18

  4. Standard J. POD18—1.8 V Pseudo open drain I/O; JESD8-19 Datasheet (2006) JEDEC Solid State Technology Association

  5. Gunning B et al (1992) A CMOS low-voltage-swing transmission-line transceiver. In: IEEE International Solid-State Circuits Conference Digest of Technical Papers

  6. Naehyuck Chang, Kwanho Kim, and Jinsung Cho (2000). Bus encoding for low-power high-performance memory systems. In: Proceedings of the 37th Annual Design Automation Conference (DAC00). Association for Computing Machinery, New York, 800–805

  7. 孙海珺,邵志标 (2007) Power-optimal encoding for low-power address bus. J Harbin Inst Technol 05: 652–656

  8. WangS, Ipek E (2016) Reducing data movement energy via online data clustering and encoding.In: 49th Annual IEEE/ACM International Symposium On Microarchitecture (MICRO), Taipei, pp. 1–13

  9. Yang J, Gupta R, Zhang C (2004) Frequent value encoding for low power data buses. ACM Trans Des Autom Electr Syst 9(3):354–384

    Article  Google Scholar 

  10. SongY, Ipek E (2015) More is less: improving the energy efficiency of data movement via opportunistic use of sparse codes. In: 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Waikiki, pp. 242–254

  11. Stan MR, Burleson WP (1995) Bus-invert coding for low-power I/O. IEEE Trans Very Large Scale Integr Syst 3(1):49–58

    Article  Google Scholar 

  12. LeeD, O'Connor M, Chatterjee N (2018) Reducing data transfer energy by exploiting similarity within a data transaction. In: IEEE International Symposium On High Performance Computer Architecture (HPCA), Vienna, pp. 40–51

  13. NVIDIA, NVIDIA Titan X (2016).https://www.nvidia.com/en-us/geforce/products/10series/titan-x-pascal

  14. Micron (2005) Mobile DRAM power-saving features and power calculations. http://www.micron.com/media/documents/products/technical-note/dram/tn4612.pdf

  15. Rambus (2010) DRAM Power Model. http://www.rambus.com/energy

  16. Brajesh KK, Deepika A, Nagendra GB (2013) Bus encoder design for reduced crosstalk, power and area in coupled VLSI interconnects. Microelectr J 44(9):827–833

    Article  Google Scholar 

  17. Ramprasad S, Shanbhag NR, Hajj IN (1999) "A coding framework for low-power address and data busses. IEEE Trans Very Large Scale Integr Syst 7(2):212–221

    Article  Google Scholar 

  18. Dublish SK (2018) Managing the memory hierarchy in GPUs. Informatics thesis and dissertation collection. http://hdl.handle.net/1842/31205

  19. Maragkoudaki E, Pavlidis VF (2020). Energy-efficient time-based adaptive encoding for off-chip communication. IEEE Trans Very Large Scale Integr Syst. https://doi.org/10.1109/TVLSI.2020.3018062

  20. Behnam P, Bojnordi MN (2020) STFL-DDR: improving the energy-efficiency of memory interface. IEEE Trans Comput 69(12):1823–1834

    Article  Google Scholar 

Download references

Funding

Zhejiang Gongshang University of technology youth talent fund proposed funding project: Research on low power technology of eDRAM new cache.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Bin Xu or Tiefei Zhang.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Xu, B., Zhang, T. GPU-oriented dynamic low-power data transmission method. J Supercomput 77, 6525–6539 (2021). https://doi.org/10.1007/s11227-020-03515-4

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-020-03515-4

Keywords

Navigation