Skip to main content
Log in

Power side-channel leakage assessment and locating the exact sources of leakage at the early stages of ASIC design process

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Power Side-channel attacks are a serious class of attacks which targets the vulnerabilities in physical implementation of a design. One of the main challenges from the view of designers in the automated design flow is the lack of enough metrics, tools, and methods to automatically measure the level of security during the designing stages. Besides, current tools do not provide any hints or reports to the engineers about the locations or sources of side-channel vulnerabilities at pre-silicon deign stages. In this research, we will propose a framework called “PATCH”, which uses a statistical flow to precisely find the source nodes of the power side-channel leakage on any arbitrary register-transfer level (RTL) design. PATCH conducts security assessments on the design and reports its security status and vulnerable nets to the designer. This will provide flexibility to designers in order to apply required changes at early stages of the design process. Our results showed that PATCH can localize the sources of leakage in an efficient manner to be applicable in the ASIC design flow. In addition, it can optionally be accompanied with our Injection tool to automatically remediate leakage of information caused by vulnerable nets.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Kocher P, Jaffe J, Jun B (1999) Differential power analysis. Annual international cryptology conference. Springer, Berlin, pp 388–397

    Google Scholar 

  2. Kocher PC (1996) Timing attacks on implementations of Diffie-Hellman, RSA, DSS, and other systems. Annual international cryptology conference. Springer, Berlin, pp 104–113

    Google Scholar 

  3. Agrawal D, Archambeault B, Rao J, Rohatgi P (2003) The EM side-channel (s). Cryptographic hardware and embedded systems-CHES 2002:29–45

    MATH  Google Scholar 

  4. Genkin D, Shamir A, Tromer E (2014) RSA key extraction via low-bandwidth acoustic cryptanalysis. Annual cryptology conference. Springer, Berlin, pp 444–461

    Google Scholar 

  5. Brier E, Clavier C, Olivier F (2004) Correlation power analysis with a leakage model. International workshop on cryptographic hardware and embedded Systems. Springer, Berlin, pp 16–29

    Google Scholar 

  6. Huss SA, Stöttinger M, Zohner M (2013) AMASIVE: an adaptable and modular autonomous side-channel vulnerability evaluation framework. Number theory and cryptography. Springer, Berlin, pp 151–165

    MATH  Google Scholar 

  7. Huss S, Stein O (2017) A novel design flow for a security-driven synthesis of side-channel hardened cryptographic modules. J Low Power Electron Appl 7(1):4

    Article  Google Scholar 

  8. Šijacic D, Balasch J, Yang B, Ghosh S, Verbauwhede I (2018) Towards efficient and automated side channel evaluations at design time. Kalpa Public Comput 7:16–31

    Article  Google Scholar 

  9. Demme J, Martin R, Waksman A, Sethumadhavan S (2012) Side-channel vulnerability factor: a metric for measuring information leakage. In: 2012 39th Annual International Symposium on Computer Architecture (ISCA). IEEE, pp 106–117

  10. Demme J, Martin R, Waksman A, Sethumadhavan S (2013) A quantitative, experimental approach to measuring processor side-channel security. IEEE Micro 33(3):68–77

    Article  Google Scholar 

  11. Zhang T, Liu F, Chen S, Lee RB (2013) Side-channel vulnerability metrics: the promise and the pitfalls. In: Proceedings of the 2nd International Workshop on Hardware and Architectural Support for Security and Privacy. ACM, p 2

  12. Callan R, Zajić A, Prvulovic M (2014) A practical methodology for measuring the side-channel signal available to the attacker for instruction-level events. In: Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, pp 242–254

  13. Gilbert Goodwill BJ, Jaffe J, Rohatgi P (2011) A testing methodology for side-channel resistance validation. NIST non-invasive attack testing workshop 7:115–136

    Google Scholar 

  14. Design Compiler: RTL Synthesis, viewed 2 (2019).https://www.synopsys.com/support/training/rtl-synthesis/design-compiler-rtl-synthesis.html

  15. ModelSim ASIC and FPGA Design—Mentor Graphics, viewed 2 (2019).https://www.mentor.com/products/fv/modelsim/

  16. Schneider T, Moradi A (2016) Leakage assessment methodology. J Cryptogr Eng 6(2):85–99

    Article  Google Scholar 

  17. Vamshi PN (2019) Hardware-implementation-of-AES-verilog: hardware implementation of advanced encryption standard algorithm in verilog, viewed 2.https://github.com/pnvamshi/Hardware-Implementation-of-AES-Verilog

  18. Standaert FX (2018) How (not) to use Welch’s t-test in side-channel security evaluations. International conference on smart card research and advanced applications. Springer, Cham, pp 65–79

    Google Scholar 

  19. Mangard S, Oswald E, Popp T (2008) Power analysis attacks: revealing the secrets of smart cards, vol 31. Springer

  20. Bokharaie VS, Jahanian A (2020) Side-channel leakage assessment metrics and methodologies at design cycle: a case study for a cryptosystem. J Inf Secur Appl 54:102561

    Google Scholar 

  21. Sadhukhan R, Mathew P, Roy DB, Mukhopadhyay D (2019) Count your toggles: a new leakage model for pre-silicon power analysis of crypto designs. J Electron Test 35(5):605–619

    Article  Google Scholar 

  22. Zoni D, Barenghi A, Pelosi G, Fornaciari W (2018) A comprehensive side-channel information leakage analysis of an in-order RISC CPU microarchitecture. ACM Trans Design Autom Electron Syst (TODAES) 23(5):1–30

    Article  Google Scholar 

  23. Slpsk P, Vairam PK, Rebeiro C, Kamakoti V (2019) Karna: a gate-sizing based security aware EDA flow for improved power side-channel attack protection. In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, pp 1–8

  24. Souissi Y, Facon A, Guilley S (2019) Virtual security evaluation. International conference on codes, cryptology, and information security. Springer, Cham, pp 3–12

    Chapter  Google Scholar 

  25. Ganesan V, Bodduna R, Rebeiro C (2019) PARAM: a microprocessor hardened for power side-channel attack resistance. arXiv preprint arXiv:1911.08813

  26. Xiao K, Nahiyan A, Tehranipoor M (2016) Security rule checking in IC design. Computer 49(8):54–61

    Article  Google Scholar 

  27. Nahiyan A, Farahmandi F, Mishra P, Forte D, Tehranipoor M (2019) Security-aware FSM design flow for identifying and mitigating vulnerabilities to fault attacks. IEEE Trans Comput Aided Design Integr Circuits Syst 38(6):1003–1016

    Article  Google Scholar 

  28. Tiri K, Verbauwhede I (2006) A digital design flow for secure integrated circuits. IEEE Trans Comput Aided Design Integr Circuits Syst 25(7):1197–1208

    Article  Google Scholar 

  29. He M, Park J, Nahiyan A, Vassilev A, Jin Y, Tehranipoor M (2019) RTL-PSC: automated power side-channel leakage assessment at register-transfer level. In: 2019 IEEE 37th VLSI Test Symposium (VTS). IEEE, pp 1–6

  30. Agrawal M, Bansal TK, Chang D, Chauhan AK, Hong S, Kang J, Sanadhya SK (2018) RCB: leakage-resilient authenticated encryption via re-keying. J Supercomput 74(9):4173–4198

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ali Jahanian.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Samadi Bokharaie, V., Jahanian, A. Power side-channel leakage assessment and locating the exact sources of leakage at the early stages of ASIC design process. J Supercomput 78, 2219–2244 (2022). https://doi.org/10.1007/s11227-021-03927-w

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-021-03927-w

Keywords

Navigation