Skip to main content

Advertisement

Log in

A Shapley value-based thermal-efficient workload distribution in heterogeneous data centers

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Thermal-aware (TA) task allocation is one of the most effective software-based dynamic thermal management techniques to minimize energy consumption in data centers (DCs). Compared to its counterparts, TA scheduling attains significant gains in energy consumption. However, the existing literature overlooks the heterogeneity of computing elements in terms of thermal constraints while allocating or migrating user jobs, which may significantly affect the reliability of racks and all the equipment therein. Moreover, the workload distribution among these racks/servers is not fair and efficient in terms of thermal footprints; it is potentially beneficial to determine the workload proportion for each computing node (rack/server) based on its marginal contribution in disturbing the thermal uniformity (TU) in a DC environment. To solve the said problems, we model the workload distribution in DCs as a coalition formation game with the Shapley Value (SV) solution concept. Also, we devise Shapley Workload (SW), a TA scheduling scheme based on the SV to optimize the TU and minimize the cooling cost of DCs. Specifically, the scheduling decisions are based on the ambient effect of the neighboring nodes, for the ambient temperature is affected by the following two factors: (1) the current temperature of computing components and (2) the physical organization of computing elements. This results in lower temperature values and better TU, consequently leading to lower cooling costs. Simulation results demonstrate that the proposed strategy greatly reduces the total energy consumption compared to the existing state-of-the-art.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Nagma K, Jagpreet S, Jaiteg S (2019) Toward energyefficient cloud computing: a survey of dynamic power management and heuristics-based optimization techniques. J Supercomput 75(8):4750–4810

    Article  Google Scholar 

  2. Oxley MA et al (2018) Rate-based thermal, power, and co-location aware resource management for heterogeneous data centers. J Parallel Distrib Comput 112:126–139

    Article  Google Scholar 

  3. Ruan X et al (2019) Virtual machine allocation and migration based on performance-to-power ratio in energy-efficient clouds. Futur Gener Comput Syst 100:380–394

    Article  Google Scholar 

  4. Akbar S et al (2021) A game-based thermal-aware resource allocation strategy for data centers. IEEE Trans Cloud Comput 9(3):845–853

    Article  MathSciNet  Google Scholar 

  5. Anurina T et al (2020) Energy and quality of service-aware virtual machine consolidation in a cloud data center. J Supercomput 76:1–32

    Article  Google Scholar 

  6. Singh Gill S et al (2020) ThermoSim: deep learning based framework for modeling and simulation of thermal-aware resource management for cloud computing environments. J Syst Softw 166:110596

    Article  Google Scholar 

  7. Chaudhry MT et al (2015) Thermal-aware scheduling in green data centers. ACM Comput Surv 47(3):1–48

    Article  Google Scholar 

  8. Peng X et al (2021) A power and thermal-aware virtual machine management framework based on machine learning. Clust Comput 24(3):1–18

    Google Scholar 

  9. Wu L, Garg SK, Buyya R (2012) SLA-based admission control for a software-as-a-service provider in cloud computing environments. J Comput Syst Sci 78(5). JCSS Special Issue: Cloud Comput 2011, pp 1280–1299

  10. Wang Y et al (2019) Experimental characterization of variation in power consumption for processors of different generations. In: 2019 International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, Physical and Social Computing (CPSCom) and IEEE Smart Data (SmartData). IEEE, pp 702–710

  11. Zhang X et al (2013) A high-level energy consumption model for heterogeneous data centers. Simul Model Pract Theory 39:41–55

    Article  Google Scholar 

  12. Ilager S, Ramamohanarao K, Buyya R (2019) ETAS: energy and thermal-aware dynamic virtual machine consolidation in cloud data center with proactive hotspot mitigation. Concurr Comput Pract Exp 31(17):e5221

    Article  Google Scholar 

  13. Sun H, Stolf P, Pierson J-M (2017) Spatio-temporal thermal-aware scheduling for homogeneous high-performance computing datacenters. Futur Gener Comput Syst 71:157–170

    Article  Google Scholar 

  14. Beloglazov A, Abawajy J, Buyya R (2012) Energy-aware resource allocation heuristics for efficient management of data centers for cloud computing. Future Gener Comput Syst Special Section: Energy efficiency in large-scale distributed systems, vol 28(5), pp 755 –768

  15. Yang J et al (2017) A task scheduling algorithm considering game theory designed for energy management in cloud computing. Future Gener Comput Syst 105:985–992

    Article  Google Scholar 

  16. Wang L, Khan SU, Dayal J (2012) Thermal aware workload placement with task-temperature profiles in a data center. J Supercomput 61(3):780–803

    Article  Google Scholar 

  17. Van Damme T, De Persis C, Tesi P (2019) Optimized thermal-aware job scheduling and control of data centers. IEEE Trans Control Syst Technol 27(2):760–771

    Article  Google Scholar 

  18. Zakarya M, Gillam L (2017) Energy efficient computing, clusters, grids and clouds: a taxonomy and survey. Sustain Comput Inf Syst 14:13–33

    Google Scholar 

  19. Moore JD et al (2005) Making scheduling “cool”: temperature-aware workload placement in data centers. In: USENIX Annual Technical Conference. USENIX Annual Technical Conference, pp 61–75

  20. Tang Q, Gupta SKS, Varsamopoulos G (2008) Energy-efficient thermal- aware task scheduling for homogeneous high-performance computing data centers: a cyber-physical approach. IEEE Trans Parallel Distrib Syst 19(11):1458–1472

    Article  Google Scholar 

  21. Martin J (1994) Osborne and Ariel Rubinstein. A course in game theory. MIT Press, Cambridge

    Google Scholar 

  22. Winter Eyal (2002) The shapley value. In: Handbook of game theory with economic applications, vol 3, pp 2025–2054

  23. Malik SUR et al (2017) Modeling and analysis of the thermal properties exhibited by cyberphysical data centers. IEEE Syst J 11(1):163–172

    Article  Google Scholar 

  24. Lee EK, Viswanathan H, Pompili D (2012) VMAP: Proactive thermalaware virtual machine allocation in HPC cloud datacenters. In: 19th International Conference on High Performance Computing, pp 1–10

  25. Lee EK, Viswanathan H, Pompili D (2017) Proactive thermal-aware resource management in virtualized HPC cloud datacenters. IEEE Trans Cloud Comput 5(2):234–248

    Article  Google Scholar 

  26. Liu H et al (2017) Thermal-aware and DVFS-enabled big data task scheduling for data centers. IEEE Trans Big Data 4(2):177–190

    Article  Google Scholar 

  27. Lee EK et al (2012) Proactive thermal management in green datacenters. J Supercomput 60(2):165–195

    Article  Google Scholar 

  28. MirhoseiniNejad SM et al (2020) Joint data center cooling and workload management: a thermal-aware approach. Futur Gener Comput Syst 104:174–186

    Article  Google Scholar 

  29. Xu S, Koren I, Krishna CM (2019) Thermal aware task scheduling for enhanced cyber-physical systems sustainability. IEEE Trans Sustain Comput 5:581–593

    Article  Google Scholar 

  30. Villebonnet V, Costa GD (2014) Thermal-aware cloud middleware to reduce cooling needs. In: IEEE 23rd International WETICE Conference, pp 115–120

  31. Jiang Z et al (2014) Thermal-Aware task placement with dynamic thermal model in an established datacenter. In: Eighth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing. Eighth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, pp 1–8

  32. Fang Q et al (2017) Thermal-aware energy management of an HPC data center via two-time-scale control. IEEE Trans Industr Inf 13(5):2260–2269

    Article  Google Scholar 

  33. Wang JV, Cheng C-T, Tse CK (2019) A thermal-aware VM consolidation mechanism with outage avoidance. Softw Pract Exp 49(5):906–920

    Article  Google Scholar 

  34. Ahmed K, Yoshii K, Tasnim S (2019) Thermal-aware power capping allocation model for high performance computing systems. In: 2019 International Conference on Computational Science and Computational Intelligence (CSCI). IEEE, pp 1488–1493

  35. Wu W et al (2019) Data center job scheduling algorithm based on temperature prediction. In: International Conference on Smart City and Informatization. Springer. pp 86–104

  36. Guo C et al (2021) Temperature-aware virtual data center embedding to avoid hot spots in data centers. IEEE Trans Green Commun Network 5(1):497–511

    Article  Google Scholar 

  37. Al-Qawasmeh AM et al (2015) Power and thermal-aware workload allocation in heterogeneous data centers. IEEE Trans Comput 64(2):477–491

    Article  MathSciNet  Google Scholar 

  38. Sun H et al (2014) Energy-efficient and thermal-aware resource management for heterogeneous datacenters. Sustain Comput Inf Syst 4(4):292–306

    Google Scholar 

  39. Pierson JM et al (2019) MILP formulations for spatio-temporal thermalaware scheduling in Cloud and HPC datacenters. Cluster Comput, pp 1–19

  40. Kim YG et al (2019) Temperature-aware adaptive VM allocation in heterogeneous data centers. In: IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp 1–6

  41. Akbari A, Khonsari A, Ghoreyshi SM (2020) Thermal-aware virtual machine allocation for heterogeneous cloud data centers. Energies 13(11):2880

    Article  Google Scholar 

  42. MirhoseiniNejad SM, Badawy G, Down DG (2021) Holistic thermal-aware workload management and infrastructure control for heterogeneous data centers using machine learning. Futur Gener Comput Syst 118:208–218

    Article  Google Scholar 

  43. Kim YG et al (2021) Thermal-aware adaptive VM allocation considering server locations in heterogeneous data centers. J Syst Archit 117:102071

    Article  Google Scholar 

  44. Ullah R et al (2018) Simulator for modeling, analysis, and visualizations of thermal status in data centers. Sustain Comput Inf Syst 19:324–340

    Google Scholar 

  45. Fatima SS, Wooldridge M, Jennings NR (2008) A linear approximation method for the Shapley value. Artif Intell 172(14):1673–1699 (issn: 0004-3702)

    Article  MathSciNet  Google Scholar 

  46. Leila I, Huned M (2020) Computing server power modeling in a data center: survey, taxonomy, and performance evaluation. ACM Comput Surv 53(3):1–34

    Google Scholar 

  47. Standard Performance Evaluation Corporation SPEC power benchmarks. http://www.spec.org/benchmarks.html#power

Download references

Acknowledgements

This work is supported by the National Key Research and Development Program of China under Grants 2016YFB0800402, National Natural Science Foundation of China under Grants U1836204 and U1936108, and Major Projects of the National Social Science Foundation under grant 16ZDA092.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Saeed Akbar.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Akbar, S., Li, R. A Shapley value-based thermal-efficient workload distribution in heterogeneous data centers. J Supercomput 78, 14419–14447 (2022). https://doi.org/10.1007/s11227-022-04405-7

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-022-04405-7

Keywords

Navigation