Skip to main content
Log in

RTFTL: design and implementation of real-time FTL algorithm for flash memory

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The optimization of traditional FTL(Flash Translation Layer) algorithm is mainly aimed at the average response time of flash memory read and write operation on a flash chip, because of the Out-of-place update, traditional FTL algorithm writes data in a new free page each time, and a new block is assigned when a block is full. Therefore, when the flash memory is almost full, a written request will lead to a garbage collection, in which there will be many write copies, which will lead to a significant decrease in response time. In this paper, we optimize the traditional FTL algorithm and propose an FTL algorithm that guarantees real-time performance by shortening the worst response time of the request as a measure of the guaranteed flash Qos (Quality of Service) under the condition that the address mapping is provided the upper layer. The algorithm adopts the idea of space-for-time and spreads the written copy of flash memory to each write request so that the worst response time of the write request is significantly reduced. The algorithm also wears balancing considerations to the algorithm. After experimental verification, the proposed algorithm has advantages over existing FTL algorithms in terms of real-time performance and has a performance improvement of more than 11% in terms of average response time and wear balance. By the way, we add the wear-level module into an algorithm. According to improving the algorithm, the worst response time has shorten 47.6%.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25

Similar content being viewed by others

Data Availability

The data set used in this article is an open source. Data source address: https://www.github.com/.

References

  1. Yan S, Li H, Hao M, Tong MH, Sundararaman S, Chien AA, Gunawi HS (2017) Tiny-tail flash: near-perfect elimination of garbage collection tail latencies in NAND SSDs. ACM Trans Storage (TOS) 13(3):1–26

    Article  Google Scholar 

  2. Zhang J, Kwon M, Gouk D, Lee C, Alian M, Chun M, Kandemir M, Kim N, Kim J, Jung M (2018) FlashShare: Punching through server storage stack from kernel to firmware for ultra-low latency SSDs. In OSDI’18

  3. Singh S, Batabyal S, Tripathi S (2020) Security aware dynamic scheduling algorithm (SADSA) for real-time applications on grid[J]. Clust Comput 23(11):1–17

    Google Scholar 

  4. Surendra S, Sachin T, Nitin K (2018) An enhanced security-aware dynamic packet scheduling scheme for wireless networks using intelligent time slice-based krill herd algorithm[J]. J Electromagn Waves and Appl 32:1–22

    Google Scholar 

  5. Park JH, Park DJ, Chung TS et al (2021) A crash recovery scheme for a hybrid mapping FTL in NAND flash storage devices[J]. Electronics 10(3):327

    Article  Google Scholar 

  6. Yao Y, Fan J, Zhou J et al (2021) HDFTL: an on-demand flash translation layer algorithm for hybrid solid state drives[J]. IEEE Trans on Consum Electron 67:50

    Article  Google Scholar 

  7. Qin Z, Wang Y, Liu D, Shao Z (2012) Real-time flash translation layer for NAND flash memory storage systems[C]. 2012 IEEE 18th Real-Time and Embedded Technology and Applications Sysposium

  8. Kim JH (2021) An FTL-aware host system alleviating severe long latency of NAND Flash-based storage," 2021 IEEE 27th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 2021, pp. 189–194, doi: https://doi.org/10.1109/RTCSA52859.2021.00029.

  9. Chae SJ, Mativenga R, Paik JY, Attique M, Chung TS (2020) DSFTL: An efficient FTL for flash memory based storage systems. Electronics 9(1):145

    Article  Google Scholar 

  10. Feng Y, Feng D, Tong W et al (2020) Multiple subpage writing FTL in MLC by exploiting dual mode operations[J]. IEEE Trans Comput-Aided Design of Integrat Circuits and Syst 39(3):599–612

    Article  Google Scholar 

  11. Rostam A, Abdullah R (2019) A proposed framework: enhanced automated duplication algorithm for flash application. Conference paper first Online: 17 May 2019. Intelligent and Interactive Computing P377–387.

  12. He Q, Bian G, Shao B, Zhang W (2020) Data deduplication technology for cloud storage [J]. Tehnički vjesnik 27(5):1445–1446

    Google Scholar 

  13. Basha SM, Ahmed ST, Iyengar NCSN, Caytiles RD (2021) Inter-locking dependency evaluation schema based on block-chain enabled federated transfer learning for autonomous vehicular systems. Second Int Conf Innovative Technol Convergence (CITC) 2021:46–51. https://doi.org/10.1109/CITC54365.2021.00016

    Article  Google Scholar 

  14. Wang Y, Tan J, Mao R et al (2020) Temperature-aware persistent data management for LSM-tree on 3-D NAND flash memory[J]. IEEE Trans Comput Aided Des Integr Circuits Syst 39(12):4611–4622

    Article  Google Scholar 

  15. Chen H, Li C, Pan Y, Lyu M, Li Y, Xu Y (2019) HCFTL:A locality-aware page-level flash translation layer, In: 2019 Design, Automation&Test in Europe Conference&Exhibition(DATE). IEEE, pp. 590–593

  16. Super Talent Technology (2007) Inc. SLC vs. 2008. MLC: An analysis of flash memory. White Paper

  17. He Q, Bian G, Shao B, Zhang W (2020) Research on multi-feature data routing strategy in deduplication. Sci Program 2020:8869237

    Google Scholar 

  18. Wikipedia (2012d) TRIM. Retrieved from http://en,wikipedia.org/wiki/TRIM.

  19. Ma C, Wang Y, Shen Z (2020) MNFTL: an efficient flash translation layer for MLC NAND flash memory. ACM Trans Design Automat Electron Syst

  20. He Q et al (2022) The research of spark memory optimization based on non-volatile memory. J Nanoelectron Optoelectron 17(1):30–39

    Article  Google Scholar 

  21. Jung M (2017) Exploring parallel data access methods in emerging non-volatile memory systems. IEEE Trans Parallel Distrib Syst 28(3):746–759

    Article  Google Scholar 

  22. He Q, Bian G, Zhang W et al (2021) Research on routing strategy in cluster deduplication system. IEEE Access 9:135485–135495

    Article  Google Scholar 

  23. Tarasov I (2021) Educational technologies for advanced training in FPGA Design[C]// 2021 1st International Conference on Technology Enhanced Learning in Higher Education (TELE)

  24. Yang J, Pei S (2019) Thermo-GC: Reducing write amplification by tagging migrated pages during garbage collection[C]//2019 IEEE International Conference on Networking, Architecture and Storage (NAS). IEEE, 2019: 1–8

  25. He Q, Bian G, Zhang W et al (2021) TCFTL: improved real-time flash memory two cache flash translation layer algorithm[J]. J Nanoelectron Optoelectron 16(3):403–413

    Article  Google Scholar 

  26. He Q et al (2022) Research on data routing strategy of deduplication in cloud environment. IEEE Access 10:9529–9542. https://doi.org/10.1109/ACCESS.2021.3139757

    Article  Google Scholar 

  27. Zhou K, Huang P, Li C, et al. (2020) An Empirical Study on the Interplay Between Filesystems and SSD. In: Proceedings of the 12th IEEE International Conference on Networking, Architecture, and Storage (NAS’17), Xiamen, China, pp. 124–133.

  28. KANG Jeong-Uk;HEESEUNG Jo;KIN Jin-Soo (2006) A superblock-based flash translation layer for nand flash memory. ACM, New York

    Google Scholar 

  29. Kim Y, Tauras B (2009) FlashSim: A Simulator for NAND Flash-based Solid-State Drives. Technical Report CSE-09–008.

  30. http://old-releases.ubuntu.com/ubuntu/pool/universe/g/gcc-2.95/

  31. http://www.pdl.cmu.edu/DiskSim/disksim3.0.shtml

  32. http://csl.cse.psu.edu/?q=node/322

  33. Cheong W, Yoon C, Woo C (2018) A flash memory controller for 15us ultra-low-latency SSD using high-speed 3D NAND flash with 3us read time. In: ISSCC’18

  34. He Q, Zhimin Yu, Bian G, Zhang W, Liu K, Li Z (2021) Research on key technologies of NBD storage service system based on load classification. AIP Adv 11:125124. https://doi.org/10.1063/5.0071929

    Article  Google Scholar 

  35. Luo Y (2018) Architectural techniques for improving NAND flash memory reliability. Ph.D. paper, Carnegie Mellon University, Doctor of Philosophy

  36. Chang YH, Hsieh JW, Kuo TW (2002) Endurance enhancement of flash-memory storage systems: AnEffificient Static Wear Leveling Design.in: Proceedings of the 44th Annual Conference on DesignAutomation(DAC’17), San Diego, CA, USA, 2020, 212–217

Download references

Acknowledgements

We are very grateful to Dr. Zhang Fan and Mr Chen Chen for providing us with RTFTL Prototype and many instructive comments. This work is supported by the National Natural Science Foundation of China (61872284); Industrial field of general projects of science and Technology Department of Shaanxi Province(2020GY-012); Industrialization Project of Shaanxi Provincial Department of Education (21JC017); "Thirteenth Five-Year" National Key R&D Program Project (Project Number: 2019YFD1100901); Yulin Science and Technology Project(2019-175); Natural Science Foundation of Shannxi Province, China(2014JM2-6127); The project sponsored by the scientific research Foundation for the returned overseas Chinese scholars, SEM No.[2014] 1685.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qinlu He.

Ethics declarations

Conflict of interest

The authors declare that they have no conflicts of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

He, Q., Bian, G., Zhang, W. et al. RTFTL: design and implementation of real-time FTL algorithm for flash memory. J Supercomput 78, 18959–18993 (2022). https://doi.org/10.1007/s11227-022-04602-4

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-022-04602-4

Keywords

Navigation