Skip to main content
Log in

Hardware implementation of fault tolerance NoC core mapping

  • Published:
Telecommunication Systems Aims and scope Submit manuscript

Abstract

Due to performance and reliability, network on chip (NoC) is considered to be the future generation interconnect technique for multiple cores in a chip. This paper proposes a system level core mapping technique which improves the performance of the whole system, while rectifying the temporary faults and permanent faults in the system using error correcting codes and spare core. This technique mainly focuses on the core mapping and faults on the system. This results in reliable core mapping and improved performance when a fault-related error occurs on an NoC. At last, the proposed core mapping technique is simulated and verified on FPGA board (Kintex-7 FPGA KC705 Evaluation Kit).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17

Similar content being viewed by others

References

  1. Goossens, K., Dielissen, J., Gangwal, O. P., & Pestana, S. G. (2005). A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification. In Proceedings of the conference on design, automation and test in Europe conference and exhibition (DATE).

  2. DiTomaso, D., Morris, R., Kodi, A. K., Sarathy, A., & Louri, A. (2013). Extending the energy efficiency and performance with channel buffers, crossbars, and topology analysis for network-on-chips. IEEE Transactions on Very Large Scale IntegrationSystems, 21(11), 2141–2154.

    Article  Google Scholar 

  3. Kim, J., Nicopoulos, C., Park, D., Narayanan, V., Yousif, M. S., & Das, C. R. (2006). A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proceedings of the 33rd international symposium on computer architecture.

  4. Bertozzi, D., & Benini, L. (2004). Xpipes: A network-on-chip architecture for gigascale system on chip. IEEE Circuits and Systems, 4(2), 18–31.

    Google Scholar 

  5. Schley, G., Ahmed, I., Afzal, M., & Radetzki, M. (2016). Reconfigurable fault tolerant routing for networks-on-chip with logical hierarchy. Computers & Electrical Engineering, 51, 195–206.

    Article  Google Scholar 

  6. Manna, K., Chattopadhyay, S., & Gupta, I. S. (2010). Energy and performance evaluation of a dimension order routing algorithm for mesh of tree based Network-on-Chip architecture. In Annual IEEE India Conference (INDICON).

  7. Rezaei, A., Daneshtalab, M., Safaei, F., & Zhao, D. (2016). Hierarchical approach for hybrid wireless network-on-chip in many-core era. Computers & Electrical Engineering, 51, 225–234.

    Article  Google Scholar 

  8. Reddy, B. N. K., Vasantha, M. H., & Nithin Kumar, Y. B. (2016). A gracefully degrading and energy-efficient fault tolerant NoC using spare core. In 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), Pennsylvania (pp. 146–151).

  9. Murali, S., & Micheli, G. D. (2004). Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the conference on design automation and test in Europe (pp. 896–901).

  10. Jang, W., & Pan, D. Z. (2010). A3MAP: Architecture aware analytic mapping for networks on chip. In The 15th Asia and south pacific design automation conference (ASP-DAC).

  11. He, O., Dong, S., Jang, W., Bian, J., & Pan, D. Z. (2012). UNISM: Unified scheduling and mapping for general networks on chip. IEEE Transactions on Very Large Scale Integration systems, 20(8), 1496–1509.

    Article  Google Scholar 

  12. Chou, C.-L., & Marculescu, R. (2011). FARM: Fault aware resource management in NoC based multiprocessor platforms. In Design, automation and test in Europe conference and exhibition (DATE).

  13. Khalili, F., & Zarandi, H. R. (2013). A fault tolerant core mapping technique in networks-on-chip. IET Computers & Digital Techniques, 7(6), 238–245.

    Article  Google Scholar 

  14. http://grokbase.com/t/lucene/mahout-dev/082festv1e/weighted-manhattan-distance-metric

  15. http://www.xilinx.com/products/boards-and-kits/ek-k7-kc705-g.html

  16. Wiersema, T., Bockhorn, A., & Platzner, M. (2016). An architecture and design tool flow for embedding a virtual FPGA into a reconfigurable system-on-chip. Computers & Electrical Engineering, 55, 112–122.

    Article  Google Scholar 

  17. Sanchez-Macian, A., Shu, A., Reviriego, P., & Maestro, J. A. (2012). Enhanced detection of double and triple adjacent errors in hamming codes through selective bit placement. IEEE Transactions on Device and Materials Reliability, 12(2), 357–362.

    Article  Google Scholar 

  18. Zhang, T., & Ding, Q. (2011) Design of (15, 11) Hamming code encoding and decoding system based on FPGA. In International conference on instrumentation, measurement, computer, communication and control (pp. 704–707).

  19. Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2017). A multi-objective model oriented mapping approach for NoC-based computing systems. IEEE Transactions on Parallel and Distributed Systems, 8(3), 662–676.

    Article  Google Scholar 

  20. Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2015). An efficient application mapping approach for the co-optimization of reliability, energy, and performance in reconfigurable NoC architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(8), 1264–1277.

    Article  Google Scholar 

  21. Jiang, S. Y., Luo, G., Liu, Y., Jiang, S. S., & Li, X. T. (2014). Fault-tolerant routing algorithm simulation and hardware verification of NoC. IEEE Transactions on Applied Superconductivity, 24(5), 1–5.

    Google Scholar 

  22. Karthikeyan, A., & Kumar, P. S. (2017). Randomly prioritized buffer-less routing architecture for 3D network on chip. Computers & Electrical Engineering, 59, 39–50.

    Article  Google Scholar 

  23. Noxim the NoC simulator. Available: https://github.com/davidepatti/noxim

  24. Bayar, S., & Yurdakul, A. (2015). PFMAP: Exploitation of particle filters for network-on-chip mapping. IEEE Transactions on Very Large Scale Integration Systems, 23(10), 2116–2127.

    Article  Google Scholar 

  25. Task graphs for free (TGFF). Available: http://ziyang.eecs.umich.edu/~dickrp/tgff/

Download references

Acknowledgements

This publication is an outcome of the R&D work undertaken in the project under Visvesvaraya Ph.D. scheme, Department of Electronics and Information Technology, Ministry of Communication and IT, Government of India and Media Lab Asia.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Naresh Kumar Reddy Beechu.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Beechu, N.K.R., Moodabettu Harishchandra, V. & Yernad Balachandra, N. Hardware implementation of fault tolerance NoC core mapping. Telecommun Syst 68, 621–630 (2018). https://doi.org/10.1007/s11235-017-0412-2

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11235-017-0412-2

Keywords

Navigation