Skip to main content
Log in

Reactive speed control in temperature-constrained real-time systems

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

In this paper, we study temperature-constrained real-time systems, where real-time guarantees must be met without exceeding safe temperature levels within the processor. We give a short review on temperature issues in processors and describe how speed control can be used to trade off task delays against processor temperature. In this paper, we describe how traditional worst-case execution scenarios do not apply in temperature-constrained situations. We develop a delay computation methodology that can be used in combination with a simple reactive speed control technique, and show how this simple reactive scheme can decrease the delay of tasks compared with any constant-speed scheme.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Association SI (2005) International technology roadmap for semiconductors. http://public.itrs.net

  • Aydin H, Melhem R, Mossé D, Alvarez PM (2001) Dynamic and aggressive scheduling techniques for power-aware real-time systems. In: Proceedings of IEEE real-time systems symposium, London, UK, December 2001

  • Bansal N, Pruhs K (2005) Speed scaling to manage temperature. In: Proceedings of symposium on theoretical aspects of computer science, Stuttgart, Germany, February 2005

  • Bansal N, Kimbrel T, Pruhs K (2004) Dynamic speed scaling to manage energy and temperature. In: Proceedings of IEEE symposium on foundations of computer science, Rome, Italy, October 2004

  • Boudec JYL, Thiran P (2001) Network calculus: A theory of deterministic queuing systems for the Internet. Springer, New York

    Google Scholar 

  • Brooks D, Martonosi M (2001) Dynamic thermal management for high-performance microprocessors. In: Proceedings of international symposium on high-performance computer architecture, Nuevo Leone, Mexico, January 2001

  • Cohen A, Finkelstein L, Mendelson A, Ronen R, Rudoy D (2003) On estimating optimal performance of cpu dynamic thermal management. Comput Architecture Lett 2(1):6

    Article  Google Scholar 

  • Dhodapkar A, Lim C, Cai G, Daasch W (2000) Tempest: A thermal enabled multi-model power/performance estimator. In: Proceedings of workshop on power-aware computer systems, Cambridge, MA, November 2000

  • Ferreira A, Mosse D, Oh J (2007) Thermal faults modeling using a rc model with an application to web farms. In: Proceedings of the 19th euromicro conference on real-time systems (ECRTS 07), Pisa, Italy

  • Gochman S, Mendelson A, Naveh A, Rotem E (2006) Introduction to intel core duo processor architecture. Intel Technol J 10(2):89–97

    Google Scholar 

  • Liu J (2000) Real-time systems. Prentice Hall, New Jersey

    Google Scholar 

  • Liu Y, Mok AK (2003) An integrated approach for applying dynamic voltage scaling to hard real-time systems. In: Proceedings of IEEE real-time and embedded technology and applications symposium, Washington, DC, May 2003

  • Pillai P, Shin KG (2001) Real-time dynamic voltage scaling for low-power embedded operating systems. In: Proceedings of ACM symposium on operating systems principles, Banff, Alberta, Canada, October 2001

  • Qadi A, Goddard S, Farritor S (2003) A dynamic voltage scaling algorithm for sporadic tasks. In: Proceedings of IEEE real-time systems symposium, Cancun, Mexico, December 2003

  • Quan G, Niu L, Hu XS, Mochocki B (2003) Fixed priority scheduling for reducing overall energy on variable voltage processors. In: Proceedings of IEEE real-time systems symposium, Cancun, Mexico, December 2003

  • Rotem E, Naveh A, Moffie M, Mendelson A (2004a) Analysis of thermal monitor features of the intel pentium m processor. In: Workshop on temperature-aware computer systems, Munich, Germany, June 2004

  • Rotem E, Naveh A, Moffie M, Mendelson A (2004b) Analysis of thermal monitor features of the intel pentium m processor. In: Workshop on temperature-aware computer systems, Munich, Germany, June 2004

  • Saewong S, Rajkumar R (2003) Practical voltage-scaling for fixed-priority rt-systems. In: Proceedings of IEEE real-time and embedded technology and applications symposium, Washington, DC, May 2003

  • Sanchez H, Kuttanna B, Olson T, Alexander M, Gerosa G, Philip R, Alvarez J (1997) Thermal management system for high performance powerpc microprocessors. In: Proceedings of IEEE international computer conference, San Jose, CA, February 1997

  • Sergent JE, Krum A (1998) Thermal management handbook. McGraw-Hill, New York

    Google Scholar 

  • Skadron K, Stan M, Huang W, Velusamy S, Sankaranarayanan K, Tarjan D (2003a) Temperature-aware microarchitecture: Extended discussion and results. Technical Report CS-2003-08, Dept. of Computer Science, University of Virginia, April 2003

  • Skadron K, Stan MR, Huang W, Velusamy S, Tarjan D (2003b) Temperature-aware computer systems: Opportunities and challenges. IEEE Micro 23(6):52–61

    Article  Google Scholar 

  • Wang S, Bettati R (2006) Delay analysis in temperature-constrained hard real-time systems with general task arrivals. In: IEEE real-time systems symposium, Rio de Janeiro, Brazil, December 2006

  • Wang S, Nathuji R, Bettati R, Zhao W (2004) Providing statistical delay guarantees in wireless networks. In: IEEE international conference on distributed computing systems

  • Yeh LT, Chu RC (2002) Thermal management of microelectronic equipment: Heat transfer theory, analysis methods, and design practices. ASME Press, New York

    Google Scholar 

  • Zhang F, Chanson ST (2005) Power-aware processor scheduling under average delay constraints. In: Proceedings of IEEE real-time and embedded technology and applications symposium, San Francisco, CA, March 2005

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shengquan Wang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, S., Bettati, R. Reactive speed control in temperature-constrained real-time systems. Real-Time Syst 39, 73–95 (2008). https://doi.org/10.1007/s11241-007-9046-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-007-9046-x

Keywords

Navigation