Skip to main content

Advertisement

Log in

Energy efficient fault-tolerant earliest deadline first scheduling for hard real-time systems

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

Aggressive technology scaling has dramatically increased the power density and degraded the reliability of embedded real-time systems. The goal of our research in this paper is to develop effective scheduling methods that can minimize the energy consumption and, at the same time, tolerate up to \(K\) transient faults when executing a hard real-time system scheduled according to the EDF policy. Three scheduling algorithms are presented in this paper. The first algorithm is an extension of a well-known fault oblivious low-power scheduling algorithm. The second algorithm intends to minimize the energy consumption under the fault-free situation while reserving adequate resources for recovery when faults strike. The third algorithm improves upon the first two by sharing the reserved resources and thus can achieve better energy efficiency. Simulation results show that the proposed algorithms consistently outperform other related approaches in energy savings.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Notes

  1. Each iteration of EMLPEDF refers to one round of the Step 1–2 in LPEDF except the intensity function is defined in Eq. (6).

References

  • AMD (2014) Amd g-series. http://www.amd.com/us/products/embedded/processors/Pages/g-series.aspx. Accessed 20 Feb 2014

  • Aydin H (2007) Exact fault-sensitive feasibility analysis of real-time tasks. IEEE Trans Comput 56(10):1372–1386. doi:10.1109/TC.2007.70739

    Article  MathSciNet  Google Scholar 

  • Aydin H, Melhem R, Mosse D, Mejia-Alvarez P (2004) Power-aware scheduling for periodic real-time tasks. IEEE Trans Comput 53(5):584–600. doi:10.1109/TC.2004.1275298

    Article  Google Scholar 

  • Bini E, Buttazzo GC (2005) Measuring the performance of schedulability tests. Real-Time Syst 30(1–2):129–154. doi:10.1007/s11241-005-0507-9

    Article  MATH  Google Scholar 

  • Castillo X, McConnel SR, Siewiorek DP (1982) Derivation and calibration of a transient error reliability model. IEEE Trans Comput 31:658–671. doi:10.1109/TC.1982.1676063

    Article  Google Scholar 

  • Davis RI, Burns A (2007) Controller area network (can) schedulability analysis: refuted, revisited and revised. refuted, revisited and revised. Real-Time Syst 35:239–272

  • Intel (2012) Intel xeon processor. http://www.intel.com/content/www/us/en/intelligent-systems/crystal-forest-server/xeon-e5-v2-89xx-chipset.html. Accessed 20 Feb 2014

  • Izosimov V, Pop P, Eles P, Peng Z (2012) Scheduling and optimization of fault-tolerant embedded systems with transparency/performance trade-offs. ACM Trans Embed Comput Syst 11(3):61:1–61:35. doi:10.1145/2345770.2345773

    Article  Google Scholar 

  • Langley T, Koga R, Morris T (2003) Single-event effects test results of 512mb sdrams. In: Radiation effects data workshop, IEEE, pp 98–101. doi:10.1109/REDW.2003.1281355

  • Lawrence R (2007) Radiation characterization of 512mb sdrams. In: Radiation effects data workshop, vol 0, IEEE, pp 204–207. doi:10.1109/REDW.2007.4342566

  • Liu Y, Liang H, Wu K (2010) Scheduling for energy efficiency and fault tolerance in hard real-time systems. In: Design, automation test in Europe conference exhibition (DATE), pp 1444–1449

  • Many F, Doose D (2011) Scheduling analysis under fault bursts. In: Real-Time and embedded technology and applications symposium, IEEE, pp 113–122. doi:10.1109/RTAS.2011.19

  • Melhem R, Mosse D, Elnozahy E (2004) The interplay of power management and fault recovery in real-time systems. IEEE Trans Comput 53(2):217–231. doi:10.1109/TC.2004.1261830

    Article  Google Scholar 

  • Mochocki B, Hu X, Quan G (2004) A unified approach to variable voltage scheduling for nonideal dvs processors. IEEE Trans Comput-Aided Des Integr Circuits Syst 23(9):1370–1377. doi:10.1109/TCAD.2004.833602

    Article  Google Scholar 

  • Pop P, Poulsen KH, Izosimov V, Eles P (2007) Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant time-triggered embedded systems. In: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS ’07, ACM, New York, pp 233–238. doi:10.1145/1289816.1289873

  • Pradhan DK (ed) (1996) Fault-tolerant computer system design. Prentice-Hall Inc, Upper Saddle River

    Google Scholar 

  • Quan G, Hu X (2001) Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In: DAC ’01, Proceedings of the 38th annual design automation conference, ACM, New York, pp 828–833. doi:10.1145/378239.379074

  • Quan G, Niu L (2004) Fixed priority scheduling for reducing overall energy on variable voltage processors. In: IEEE computer Society in 25th IEEE Real-Time system symposium, IEEE, pp 309–318

  • Skotnicki T, Hutchby J, King TJ, Wong HS, Boeuf F (2005) The end of cmos scaling: toward the introduction of new materials and structural changes to improve mosfet performance. IEEE Circuits Dev Mag 21(1):16–26. doi:10.1109/MCD.2005.1388765

    Article  Google Scholar 

  • Sridharan R, Mahapatra R (2010) Reliability aware power management for dual-processor real-time embedded systems. In: 47th ACM/IEEE design automation conference (DAC), IEEE, pp 819–824

  • Srinivasan J, Adve S, Bose P, Rivers J (2004) The impact of technology scaling on lifetime reliability. In: 2004 International conference on dependable systems and networks, pp 177–186. doi:10.1109/DSN.2004.1311888

  • Srinivasan J, Adve SV, Bose P, Rivers J, Hu CK (2003) Ramp: A model for reliability aware microprocessor design. IBM Research Report, RC23048. New York

  • Wei T, Chen X, Hu S (2011) Reliability-driven energy-efficient task scheduling for multiprocessor real-time systems. IEEE Trans Comput-Aided Des Integr Circuits Syst 30(10):1569–1573. doi:10.1109/TCAD.2011.2160178

    Article  MathSciNet  Google Scholar 

  • Wei T, Mishra P, Wu K, Zhou J (2012) Quasi-static fault-tolerant scheduling schemes for energy-efficient hard real-time systems. J Syst Softw 85(6):1386–1399. doi:10.1016/j.jss.2012.01.020

    Article  Google Scholar 

  • Yao F, Demers A, Shenker S (1995) A scheduling model for reduced cpu energy. In: Proceedings of 36th annual symposium on foundations of computer science, pp 374–382. doi:10.1109/SFCS.1995.492493

  • Zhang Y, Chakrabarty K (2006) A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems. IEEE Trans Comput-Aided Des Integr Circuits Syst 25(1):111–125. doi:10.1109/TCAD.2005.852657

    Article  Google Scholar 

  • Zhang Y, Chakrabarty K, Swaminathan V (2003) Energy-aware fault tolerance in fixed-priority real-time embedded systems. In: ICCAD ’03, Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design, IEEE Computer Society, Washington, DC, p 209. doi:10.1109/ICCAD.2003.63

  • Zhao B, Aydin H, Zhu D (2011) Generalized reliability-oriented energy management for real-time embedded applications. In: 48th ACM/EDAC/IEEE design automation conference (DAC), IEEE, pp 381–386

  • Zhao B, Aydin H, Zhu D (2012) Energy management under general task-level reliability constraints. In: IEEE 18th real-time and embedded technology and applications symposium (RTAS), pp 285–294. doi:10.1109/RTAS.2012.30

  • Zhu D, Melhem R, Mosse D (2004) The effects of energy management on reliability in real-time embedded systems. In: ICCAD ’04, Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, IEEE Computer Society, Washington, DC, pp 35–40. doi:10.1109/ICCAD.2004.1382539

Download references

Acknowledgments

This work is supported in part by NSF under Projects CNS-1423137 and CNS-1018108.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qiushi Han.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Han, Q., Niu, L., Quan, G. et al. Energy efficient fault-tolerant earliest deadline first scheduling for hard real-time systems. Real-Time Syst 50, 592–619 (2014). https://doi.org/10.1007/s11241-014-9210-z

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-014-9210-z

Keywords

Navigation