Skip to main content
Log in

Trend and Challenge on System-on-a-Chip Designs

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

The success of system-on-a-chip (SoC) hinges upon a well-concerted integrated approach from multiple disciplines, such as device, design, and application. From the device perspective, rapidly improving VLSI technology allows the integration of billions of transistors on a single chip, thus permitting a wide range of functions to be combined on one chip. From the application perspective, numerous killer applications have been identified, which can make full use of the aforementioned functionalities provided by a single chip. From the design perspective, however, with greater device integration, system designs become more complex and are increasingly challenging to design. Moving forward, novel approaches will be needed to meet these challenges. This paper explores several new design strategies, which represent the current design trends to deal with the emerging issues. For example, recognizing the stringent requirements on power consumption, memory bandwidth/latency, and transistor variability, novel power/thermal management, multi-processor SoC, reconfigurable logic, and design for verification and testing have now been incorporated into modern system design. In addition, we look into some plausible solutions. For example, further innovations on scalable, reusable, and reliable system architectures, IP deployment and integration, on-chip interconnects, and memory hierarchies are all anticipated in the near future.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

Reference

  1. P. Apparao and G. Averill, “Firmware-Based Platform Reliability,” Technology@Intel Magazine, also available on-line: http://www.intel.com/technology/magazine/systems/sv12041.pdf, Dec. 2004.

  2. A. Aston, “More Life for Moore’s Law,” BusinessWeek, pp. 108–109, Jun. 2005.

  3. M. Badaroglu, M. van Heijningen, V. Gravot, J. Compiet, S. Donnay, M. Engels, G. Gielen and H. De Man, “Methodology and Experimental Verification for Substrate Noise Reduction in CMOS Mixed-Signal ICs with Synchronous Digital Circuits,” IEEE Journal of Solid-State Circuits, vol. 37, no. 11, 2002, pp. 1383–1395.

    Article  Google Scholar 

  4. M Baron, “The Cell, at One,” Microprocessor Report, MPR 3/13/06-01.

  5. M. Baron, “OMAP3 Sets Specs for Cellphones,” Microprocessor Reports, MPR 4/24/06-01.

  6. A. Berić, G. de Haan, R. Sethuraman and J. van Meerbergen, “An Efficient Picture-Rate Up-Converter,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 49–63.

    Article  Google Scholar 

  7. D. Bertozzi and L. Benini, “Xpipes: a Network-On-Chip Architecture for Gigascale Systems-On-Chip,” IEEE Circuits and Systems Magazine, vol. 4, no. 2, 2004, pp. 18–31.

    Article  Google Scholar 

  8. E. Beyne, “The Rise of the 3rd Dimension for System Integration,” in Proc. of Int’l Interconnect Technology Conf., pp. 1–5, June 2006.

  9. B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen and C. Webb, “Die Stacking (3D) Microarchitecture,” in Proc. of Int’l Symp. on Microarchitecture, pp. 469–479, 2006.

  10. B. Black, D. W. Nelson, C. Webb and N. Samra, “3D Processing Technology and its Impact on IA32 Microprocessors,” in Proc. of Int’l Conf. on Computer Design, pp. 316–318, Oct. 2004.

  11. S. Borkar, “Designing Reliable Systems from Unreliable Components: the Challenges of Transistor Variability and Degradation,” IEEE Micro, vol. 25, no. 6, 2005, pp. 10–16.

    Article  Google Scholar 

  12. S. Borkar, “Tackling Variability and Reliability Challenges,” IEEE Design & Test of Computers, vol. 23, no. 6, 2006, p. 520.

    Article  Google Scholar 

  13. S. Borkar, “Performance, Power and the Platform,” Technology@Intel Magazine, Nov. 2005.

  14. B. Brock and K. Rajamani, “Dynamic Power Management for Embedded Systems,” in Proc. of Int’l SOC Conf., pp. 416–419, Sept. 2003.

  15. C. Chan, Y. Chang, H. Ho and H. Chiueh, “A Thermal-Aware Power Management Soft-IP for Platform-Based SoC designs,” in Proc. of Int’l Symp. on System-on-Chip, pp. 181–184, Nov. 2004.

  16. D. C.-W. Chang, I.-T. Liao, J.-K. Lee, W.-F. Chen, S.-Y. Tseng and C.-W. Jen, “PAC DSP Core and Application Processors,” in Proc. of Int’l Conf. on Multimedia & Expo, pp. 289–292, July 2006.

  17. M.-F. Chang and K.-A. Wen, “Power and Substrate Noise Tolerance of Configurable Embedded Memories in SoC,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 81–91.

    Article  Google Scholar 

  18. K.-C. Chang, J.-S. Shen and T.-F. Chen, “Evaluation and Design Trade-Offs Between Circuit-Switched And Packet-Switched NoCs for Application-Specific SoCs,” in Proc. of Design Automation Conf., pp. 143–148, 2006.

  19. Y. Chang and I. Young, “Fully Integrated 5GHz Band Low Noise Amplifiers in 90 nm RF CMOS Technology,” in Proc. of Symp. on VLSI Technology, 2005.

  20. A. Chattopadhyay and Z. Zilic, “GALDS: a Complete Framework for Designing Multiclock ASIC’s and SoCs,” IEEE Transaction on Very Large Scale Integration System, vol. 13, no. 6, 2005, pp. 641–654.

    Article  Google Scholar 

  21. Y.-K. Chen and S. Y. Kung, “Trends and Challenges with System-on-chip Technology for Multimedia System Design,” in Proc. of Emerging Information Technology Conference, Aug. 2005.

  22. Y.-K. Chen, E. Q. Li, X. Zhou and S. L. Ge, “Implementation of H.264 Encoder and Decoder on Personal Computers,” Journal of Visual Communications and Image Representations, vol. 17, no. 2, 2006, pp. 509–532.

    Article  Google Scholar 

  23. J. Choi and H. Cha, “Memory-Aware Dynamic Voltage Scaling for Multimedia Applications,” IEE Proceedings of Computers and Digital Techniques, vol. 153, no. 2, 2006, pp 130–136.

    Article  Google Scholar 

  24. J. Clabes, J. Friedrich, M. Sweet, J. Dilullo, S. Chu, D. Plass, J. Dawson, P. Muench, L. Powell, M. Floyd, B. Sinharoy, M. Lee, M. Goulet, J. Wagoner, N. Schwartz, S. Runyon, G. Gorman, P. Restle, R. Kalla, J. McGill and S. Dodson, “Design and Implementation of the POWER5 Microprocessor,” in Proc. of Int’l Solid-State Circuits Conf, pp. 56–57, Jan. 2004.

  25. T. A. C. M. Classen, “An Industry Perspective on Current and Future State of the Art in System-On-Chip (SoC) Technology,” Proceedings of the IEEE, vol 94 no 6, 2006, pp.1121–1137.

    Article  Google Scholar 

  26. A. Dehnhardt, M. B. Kulaczewski, L. Friebe, S. Moch, P. Pirsch, H.-J. Stolberg and C. Reuter, “A Multi-Core SoC Design for Advanced Image and Video Compression,” in Proc. of Int’l Conf. on Acoustics, Speech, and Signal Processing, pp. 665–668, Mar. 2005.

  27. J. A. Fisher, “Very Long Instruction Word Architectures and the ELI-512,” in Proc. of Int’l Symp. on Computer Architecture, pp. 140–150, June 1983.

  28. M. J. Flynn and P. Hung, “Microprocessor Design Issues: Thoughts on the Road Ahead,” IEEE Micro, vol. 25, no. 3, 2005, pp. 16–31.

    Article  Google Scholar 

  29. S. Furber and J. Bainbridge, “Future Trends in SoC Interconnect,” in Proc. of Int’l Symp. on System-on-Chip, pp. 183–186, Nov. 2005.

  30. M. D. Galanis, G. Dimitroulakos, A. P. Kakarountas and C. E. Goutis, “Partitioning Applications to Heterogeneous Reconfigurable Hardware,” WSEAS Transactions on Computers, vol. 4, no. 10, 2005, pp. 1289–1296.

    Google Scholar 

  31. O. Goren and Y. Netanel, “High Performance On-Chip Interconnect System Supporting Fast SoC Generation,” in Proc. of Int’l Symp. on VLSI Design, Automation and Test, pp. 55–58, Apr. 2006.

  32. T. R. Halfhill, “ARM Thumbs a Ride,” Microprocessor Reports, MPR 10/30/06-01.

  33. T. R. Halfhill, “StarCore Reveals Its First DSP,” Microprocessor Reports, MPR 5/10/99-03.

  34. T. R. Halfhill, “Tensilica Upgrades Xtensa Cores,” Microprocessor Reports, MPR 12/4/06-2.

  35. J. L. Hennessy and D. A. Patterson, Computer Organization and Design. Morgan Kaufmann, 1997.

  36. J. Kenkel, “Closing the SoC Design Gap,”IEEE Computer, vol. 36, no. 9, 2003, pp. 119–121.

    Google Scholar 

  37. L. Kriaa, A. Bouchhima, M. Gligor, A.-M. Fouillart, F. Pétrot and A.-A. Jerraya, “Parallel Programming of Multi-processor SoC: A HW-SW Interface Perspective,” International Journal of Parallel Programming, 2007.

  38. In-Stat, “Smart Appliances: Bringing the Digital Home Closer to Reality,” report IN020002ID, July 2002.

  39. W.-C. Kao, C.-C. Kao, C.-K. Lin, T.-H. Sun and S.-Y. Lin, “Reusable Embedded Software Platform for Versatile Camera Systems,” IEEE Transactions on Consumer Electronics, vol. 51, no. 4, 2005, pp. 1379–1386.

    Article  Google Scholar 

  40. W. Ke and K. Truong, “Design with Testability for a Platform-Based SoC Design Methodology,” in Proc. of IEEE Asia Pacific Conf. on ASICs, pp. 307–310, Aug. 1999.

  41. T. Kgil, S. D’Souza, A. Saidi, N. Binkert, R. Dreslinski, T. Mudge, S. Reinhardt and K. Flautner, “PicoServer: Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor,” in Proc. of Int’l Conf. on Architectural Support for Programming Languages and Operating Systems, pp. 117–128, Oct. 2006.

  42. K. Kim, D. Kim and C. Park, “Real-Time Scheduling in Heterogeneous Dual-Core Architectures,” in Proc. of Int’l Conf. on Parallel and Distributed Systems, vol. 2, July 2006.

  43. M. Kondo, M. Fujita and H. Nakamura, “Software-Controlled On-Chip Memory for High-Performance and Low-Power Computing,” Computer Architecture News, pp. 7–8, Jun. 2002.

  44. S. Ahmed, P. Vandervoorn, A. Murthy, B. Obradovic, K. Raol, W.-K. Shih, I. Chao, I. Post and S. Chambers, “Integration of Mixed-Signal Elements into a High-Performance Digital CMOS Process,” Intel Technology Journal, vol. 6, no. 2, May 2002 (also available on-line: http://www.intel.com/technology/itj/).

  45. S. Y. Kung, VLSI Array Processors. Prentice-Hall, Inc., 1987.

  46. B. Lewis, “SOC Market Is Set for Years of Growth in the Mainstream,” [Gartner Market Report #G00131823 of 17 October 2005].

  47. T.-J. Lin, H.-Y. Lin, C.-M. Chao, C.-W. Liu and C.-W. Jen, “A Compact DSP Core with Static Floating-Point Arithmetic,” The Journal of VLSI Signal Processing System, vol. 42, no.2, 2006, pp. 127–138.

    Article  MATH  Google Scholar 

  48. W.-C. Lo, Y.-H. Chen, J.-D. Ko, T.-Y. Kuo, C.-W. Chien, Y.-C. Chen, W.-Y. Chen, F.-J. Leu and H.-T. Hu, “Development and Characterization of Low Cost Ultrathin 3-D Interconnect,” in Proc. of Electronic Components and Technology Conf., pp. 337–342, 2005.

  49. J.-M. Lu, H.-L. Wu, T.-M. Chiang and W.-F. Chen, “High Performance and Low-Power Dual-Core SoC Platform for Portable Multimedia Applications,” SoC Technology Journal, no. 2, 2005, pp. 36–45, May.

  50. P. Magarshack and P. Paulin, “System-on-Chip Beyond the Nanometer Wall,” in Proc. of Design Automation Conf., pp. 419–424, 2003.

  51. E. J. Marinissen, B. Prince, D. Keitel-Schulz, Y. Zorian, “Challenges in Embedded Memory Design and Test,” in Proc. of the Conf. on Design, Automation and Test in Europe, pp. 722–727, 2005.

  52. E. J. Marinissen and T. Waayers, “Infrastructure for Modular SoC Testing,” in Proc. of the IEEE Custom Integrated Circuits Conf., pp. 671–678, Oct. 2004.

  53. B. Mei, S. Vernalde, D. Verkest, H. De Man and R. Lauwereins, “DRESC: a Retargetable Compiler for Coarse-Grained Reconfigurable Architectures,” in Proc. of Int’l Conf. on Field-Programmable Technology, pp. 166–173, Dec. 2002.

  54. T. H. Meng, B. M. Gordon, E. K. Tsern and A. C. Hung, “Portable Video-on-Demand in Wireless Communication,” Proceeding of IEEE, vol. 83. no. 4, 1995, pp. 659–680.

    Article  Google Scholar 

  55. G. E. Moore, “Cramming More Components Onto Integrated Circuits,” Electronics, vol. 38, no. 8, 1965.

  56. G. E. Moore, “No Exponential is Forever ... but We Can Delay `Forever’,” presentation at Int’l Solid State Circuits Conf., 2003.

  57. G. Moretti, T. Anderson, J. Bergeron, A. Dixit, P. Flake, T. Hopes and R. Narayanaswamy, “Your core—my problem?: integration and verification of IP,” in Proc. of Design Automation Conf., pp. 170–171, 2001.

  58. “MoSys Inc. and Fujitsu Limited Extend 1T-SRAM Technology Agreement to 90nm ASIC/SoC Designs; Targets Portable Consumer Applications including Digital Cameras and Video Camcorders,” available on-line: http://www.us.design-reuse.com/news/ news10206.html, Apr. 2005.

  59. J. Nagda, “‘Smart’ Verification Moves Beyond SystemVerilog 3.0,” EE Times: Design News, Sept. 2002.

  60. M. Nahvi and A. Ivanov, “Indirect Test Architecture for SoC Testing,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 7, 2004, pp. 1128–1142.

    Article  Google Scholar 

  61. K. V. Nedovodeev, “Multimedia Data Processing on Dual-core SoC Multicore-24,” in Proc. of Int’l Symp. on Consumer Electronics, pp. 1–6, June 2006.

  62. E. Nilsson and J. Öberg, “Reducing Power And Latency In 2-D Mesh NoCs Using Globally Pseudochronous Locally Synchronous Clocking,” in Proc. of Int’l Conf. on Hardware Software Codesign, pp. 176–181, 2004.

  63. E. J. Nowak, T. Ludwig, I. Aller, J. Kedzierski, M. Leong, B. Rainey, M. Breitwisch, V. Gemhoefer, J. Keinert and D. M. Fried, “Scaling Beyond the 65 nm Node with FinFET-DGCMOS,” in Proc. of IEEE Custom Integrated Circuits Conf., pp. 339–342.

  64. D. A. Patterson, “Latency Lags Bandwidth,” Communication of the ACM, vol. 47, no. 10, 2004, pp. 71–75, Oct.

    Article  MathSciNet  Google Scholar 

  65. N. C. Paver, M. H. Khan, B. C. Aldrich and C. D. Emmons, “Accelerating Mobile Video: A 64-Bit SIMD Architecture for Handheld Applications,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 21–34.

    Article  Google Scholar 

  66. F. Pollack, “New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies,” presentation at IEEE/ACM Int’l Symp. on Microarchitecture, 2001.

  67. F. Pospiech and S. Olsen, “Embedded Software in the SoC World-How HdS Helps to Face the HW and SW Design Challenge,” in Proc. of the IEEE Custom Integrated Circuits Conf., pp. 653–658, Sept. 2003.

  68. A. Pratoomtong and Y. H. Hu, “Algorithm Transformation to Improve Data Locality for Multimedia SoC,” in Proc. of Int’l Conf. on Acoustics, Speech, and Signal Processing, vol. 2, pp. 29–32, Apr. 2007.

  69. K. Puttaswamy and G. H. Loh, “Emerging Technologies: Thermal Analysis of A 3D Die-Stacked High-Performance Microprocessor,” in Proc. of ACM Great Lakes Symp. on VLSI, pp. 19–24, April 2006.

  70. “QUALCOMM and TSMC Collaborate on 90nm Low-Power Process for Wireless Applications,” available on-line: http://www.us.design-reuse.com/news/news7343.html, Mar. 2004.

  71. R. M. Ramanathan, M. Agan, A. Daniel and P. A. Correia, “Intel Energy-Efficient Performance-Performance Made Energy Efficient Through New Technological Leaps,” Intel whitepaper, available from http://download.intel.com/technology/eep/overview-paper.pdf

  72. W. Savage, J. Chilton and R. Camposano, “IP Reuse in the System on a Chip Era,” in Proc. of Int’l Symp. on Systems Synthesis, pp. 2–7, 2000.

  73. R. R. Schaller, “Moore’s Law: Past, Present And Future,” IEEE Spectrum, pp. 52–59, Jun. 1997.

  74. W.-B. See, P.-A. Hsiung, S.-J. Chen, “Framework Approach for System on Chip Software Development,” in Proc. of Int’l Symp. on VLSI Technology, Systems, and Applications, 2003, pp. 196–199.

  75. M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey and Sangiovanni-Vincentelli, “Addressing the System-On-A-Chip Interconnect Woes Through Communication-Based Design,” in Proc. of Design Automation Conf., pp. 667–672, 2001.

  76. L. Shang, L.-S. Peh and N. K. Jha, “Power-Efficient Interconnection Networks: Dynamic Voltage Scaling with Links,” Computer Architecture Letters, vol. 1, no. 2, 2002, pp. 1–4.

    Google Scholar 

  77. L. Shang, L.-S. Peh, A. Kumar and N. K. Jha, “Thermal Modeling, Characterization and Management of On-Chip Networks,” in Proc. of Int’l Symp. on Microarchitecture, Dec. 2004.

  78. I. Soderquist, “Globally Updated Mesochronous Design Style,” IEEE Journal of Solid-State Circuits, vol. 38, no. 7, 2003, pp. 1242–1249.

    Article  Google Scholar 

  79. H.-J. Stolberg, M. Bereković, S. Moch, L. Friebe, M. B. Kulaczewski, S. Flügel, H. Kluβmann, A. Dehnhardt and P. Pirsch, “HiBRID-SoC: A Multi-Core SoC Architecture for Multimedia Signal Processing,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 9–20.

    Article  Google Scholar 

  80. H.-J. Stolberg, S. Moch, L. Friebe, A. Dehnhardt, M. B. Kulaczewski, M. Bereković and P. Pirsch, “An SoC with Two Multimedia DSPs and a RISC Core for Video Compression Applications,” in Proc. of Int’l Solid-State Circuits Conf., pp. 330–531, Feb. 2004.

  81. N. Tanaka, Y. Yoshimira, T. Naito, C. Miyazaki, Y. Nemoto, M. Nakanishi and T. Akazawa, “Ultra-Thin 3D-Stacked SIP Formed Using Room-Temperature Bonding Between Stacked Chips,” in Proc. of Electronic Components and Technology Conf., pp. 788–794, 2005.

  82. S. Taylor, “Intel Integrated Performance Primitives: How To Optimize Software Applications Using Intel IPP,” Intel Press, 2003.

  83. T.-H. Tsai, Y.-C. Yang and C.-N. Liu, “A Hardware/Software Co-Design of MP3 Audio Decoder,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 111–127.

    Article  Google Scholar 

  84. P.-C. Tseng, C.-T. Huang and L.-G. Chen, “Reconfigurable Discrete Wavelet Transform Processor for Heterogeneous Reconfigurable Multimedia Systems,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 35–47.

    Article  Google Scholar 

  85. F.-J. Veredas, M. Scheppler, W. Moffat and B. Mei, “Custom Implementation of the Coarse-Grained Reconfigurable ADRES Architecture for Multimedia Purposes,” in Proc. of Int’l Conf. on Field Programmable Logic and Applications, pp. 106–111, Aug. 2005.

  86. R. von Vignau, “Advances in SoC Design Push Abstraction,” Electron. Weekly, Sep. 16, 2005.

  87. F. R. Wagner, W. O. Cesário, L. Carro and A. A. Jerraya, “Strategies for the Integration of Hardware and Software IP Components in Embedded Systems-on-Chip,” Integration, the VLSI Journal, vol. 37, no. 4, 2004, pp. 223–252.

    Article  Google Scholar 

  88. S.-H. Wang, W.-H. Peng, Y. He, G.-Y. Lin, C.-Y. Lin, S.-C. Chang, C.-N. Wang and T. Chiang, “A Software-Hardware Co-Implementation of MPEG-4 Advanced Video Coding (AVC) Decoder with Block Level Pipelining,” The Journal of VLSI Signal Processing, vol. 41, no. 1, 2005, pp. 93–110.

    Article  Google Scholar 

  89. T, Wiegand, H. Schwarz, A. Joch, F. Kossentini and G. J. Sullivan, “Rate-Constrained Coder Control and Comparison of Video Coding Standards,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 7, 2003, pp. 688–703.

    Article  Google Scholar 

  90. C.-W. Wu, “SoC Testing Methodology and Practice,” in Proc. of Design, Automation and Test in Europe, pp. 1120–1121, 2005.

  91. L.-T. Yeh and R. C. Chu, Thermal Management of Microelectronic Equipment: Heat Transfer Theory, Analysis Methods and Design Practices. ASME Press, New York, NY, 2002.

    Google Scholar 

  92. H. Yue, Z. Wang and K. Dai, “A Heterogeneous Embedded MPSoC for Multimedia Applications,” in Proc. of Int’l Conf. on High Performance Computing and Communications, pp. 591–600, Sep. 2006.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yen-Kuang Chen.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Chen, YK., Kung, S.Y. Trend and Challenge on System-on-a-Chip Designs. J Sign Process Syst Sign Image Video Technol 53, 217–229 (2008). https://doi.org/10.1007/s11265-007-0129-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-007-0129-7

Keywords

Navigation