Skip to main content
Log in

Automated Design Space Exploration for DSP Applications

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

We present a performance analysis framework that efficiently generates and analyzes hardware designs for computationally intensive signal processing applications. Our framework synthesizes designs from a high level of abstraction into well-constructed and recognizable hardware structures that perform well in terms of area, throughput and power dissipation. Cost functions provided by our framework allow the user to reduce the design space to a set of efficient hardware implementations that meet performance constraints. We utilize our framework to estimate hardware performance using a set of pre-synthesized mathematical cores which expedites the synthesis process by approximately 14 fold. This reduces the architectural generation and hardware synthesis process from days to several hours for complex designs. Our work aims at performing hardware optimizations at the architectural and arithmetic levels, relieving the user from manually describing the designs at the register transfer level and iteratively varying the hardware structures. We illustrate the efficiency and accuracy of our framework by generating finite impulse response filter structures used in several signal processing applications such as adaptive equalizers and quadrature mirror filters. The results show that hardware filter structures generated by our framework can achieve, on average, a 3 fold increase in power efficiency when compared to manually constructed designs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12

Similar content being viewed by others

References

  1. Chandrakasan, A. P., Potkonjak, M., Rabaey, J., & Brodersen, R. W. (1992). HYPER-LP: A system for power minimization using architectural transformations. In IEEE/ACM international conference on computer-aided design, 1992, ICCAD-92. Digest of technical papers., 1992, Santa Clara, CA (pp. 300–303), November.

  2. Banerjee, P., Haldar, M., Nayak, A., Kim, V., Saxena, V., Parkes, S., et al. (2004). Overview of a compiler for synthesizing MATLAB programs onto FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(3), 312–324, March.

    Article  Google Scholar 

  3. Hopf, J. (2004). A parameterizable Handelc divider generator for FPGAs with embedded hardware multipliers. In IEEE International conference on field-programmable technology, 2004, Proceedings (pp. 355–358).

  4. Potkonjak, M., & Rabaey, J. (1993). Exploring the algorithmic design space using high level synthesis. In [Workshop on] VLSI signal processing, VI, 1993, Veldhoven (pp. 123–131), October.

  5. Synopsys corporation (2008). Synopsys design compiler. http://www.synopsys.com/products/logic/design_compiler.html.

  6. Synopsys corporation (1999). Synopsys module compiler. http://www.synopsys.com.

  7. Banerjee, P. (2003). An overview of a compiler for mapping MATLAB programs onto FPGAs. In Proceedings of the Asia and South Pacific design automation conference, ASP-DAC 2003 (pp. 477–482), January.

  8. Xilinx (2008). Acceldsp synthesis tool, xilinx design tools. http://www.xilinx.com/ise/dsp_design_prod/acceldsp/index.htm.

  9. Stan, M. R. (2001). Low-power CMOS with subvolt supply voltages. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9(2), 394–400, April.

    Article  Google Scholar 

  10. Gemmeke, T., Gansen, M., Stockmanns, H. J., & Noll, T. G. (2004). Design optimization of low-power high-performance DSP building blocks. IEEE Journal of Solid-State Circuits, 39(7), 1131–1139, July.

    Article  Google Scholar 

  11. Shah, S., Al-Khalili, A., & Al-Khalili, D. (2000). Comparison of 32-bit multipliers for various performance measures. In Proceedings of the 12th international conference on microelectronics, 2000, ICM 2000 (pp. 75–80), Tehran, October/November.

  12. Summerfield, S., Wang, Z., & Parhi, K. (1999). Area-power-time efficient pipeline-interleaved architectures for wave digital filters. In Proceedings of the 1999 IEEE international symposium on circuits and systems, 1999, ISCAS ’99 (vol. 3, pp. 343–346), Orlando, FL, May/June.

  13. Parhi, K. (1999). VLSI digital signal processing systems: Design and implementation. New York: Wiley.

    Google Scholar 

  14. Kung, S. Y. (1987). VLSI array processing. Upper Saddle River: Prentice-Hall.

    Google Scholar 

  15. Yue, Q., Zhancai, L., & Qin, W. (2005). Low-power FIR filter based on standard cell. In 6th International Conference on ASIC, 2005, ASICON 2005. (vol. 1, pp. 208–211), October.

  16. Velusamy, S., Huang, W., Lach, J., Stan, M., & Skadron, K. (2005). Monitoring temperature in FPGA based socs. In IEEE international conference on computer design: VLSI in computers and processors, 2005, ICCD 2005, Proceedings. 2005 (pp. 634–637), October.

  17. Markovic, D., Nikolic, B., & Brodersen, R. W. (2006). Power and area efficient VLSI architectures for communication signal processing. In IEEE international conference on communications, 2006, Istanbul (vol. 7, pp. 3223–3228), June.

  18. Vujkovic, M., & Sechen, C. (2002). Optimized power-delay curve generation for standard cell ICs. IEEE/ACM international conference on computer aided design, ICCAD 2002 (pp. 387–394), November.

  19. Chandrakasan, A. P., Sheng, S., & Brodersen, R. W. (1992). Low-power CMOS digital design. IEEE Journal of Solid-State Circuits, 27(4), 473–484, April.

    Article  Google Scholar 

  20. Systemc (2002). Systemc version 2.0 user’s guide. http://www.systemc.org, January.

  21. Synopsys (2002). Describing synthesizable rtl in systemc. http://www.synopsys.com, January.

  22. Baganne, A., Bennour, I., Elmarzougui, M., Gaiech, R., & Martin, E. (2003). A multi-level design flow for incorporating IP cores: Case study of 1d wavelet IP integration. Design, Automation and Test in Europe Conference and Exhibition, 2003, 250–255.

    Article  Google Scholar 

  23. Hourani, R., Alexander, W., & Raithatha, T. (2005). A hardware performance analysis framework for architectural exploration of DSP systems. In Global signal processing expo GSPX. San Jose, CA, October.

  24. McCanny, J. V., McWhirter, J. G., & Kung, S. Y. (1990). The use of data dependence graphs in the design of bit-level systolic arrays. IEEE Transactions on Acoustics, Speech, and Signal Processing [see also IEEE Transactions on Signal Processing], 38(5), 787–793, May.

    Article  Google Scholar 

  25. Opensocdesign. http://www.opensocdesign.com/.

  26. Hourani, R., Jenkal, R., Davis, W. R., & Alexander, W. (2006). Automated architectural exploration for signal processing algorithms. In IEEE workshop on signal processing systems design and implementation, 2006, SIPS ’06 (pp. 274–279), Banff, AB, Canada, October.

  27. Davis, W. (2003). Getting high-performance silicon from system-level design. In IEEE computer society annual symposium on VLSI, 2003, Proceedings (pp. 238–243), February.

  28. ECE (2008). Methodologies for user-friendly system-on-a-chip experimentation. http://www.ece.ncsu.edu/muse/sshaft/.

  29. Hourani, R., Kim, Y., Ocloo, S., & Alexander, W. (2006). Automated hardware ip generation for digital signal processing applications. In IEEE 40th ASILOMAR conf. on signals, systems and computers, Pacific Grove, CA, November.

  30. Vaidyanathan, P. P. (1993). Multirate systems and filter banks. Englewood Cliffs: Prentice Hall.

    MATH  Google Scholar 

  31. Oklahoma State University (2008). The oklahoma state university system on chip (soc) design flows. http://vcag.ecen.okstate.edu/.

  32. Ki, H.-J., Paik, W.-H., Yoo, J.-S., & Kim, S.-W. (1997). A high speed, low power 8-tap digital FIR filter for PRML disk-drive read channels. In Proceedings of the 23rd European solid-state circuits conference, 1997, ESSCIRC ’97 (pp. 312–315), September.

  33. Staszewski, R. B., Muhammad, K., & Balsara, P. (2000). A 550-MSample/s 8-tap FIR digital filter for magnetic recording read channels. IEEE Journal of Solid-State Circuits, 35(8), 1205–1210, August.

    Article  Google Scholar 

  34. Yu, H., Kim, B. W., Cho, Y. G., Cho, J. D., Kim, J. W., Lee, J. K., et al. (2001). Area-efficient and reusable VLSI architecture of decision feedback equalizer for QAM modem. In Proceedings of the Asia and South Pacific design automation conference, 2001, ASP-DAC 2001 (pp. 404–407), Yokohama, January/February.

  35. Chen, K. H., & Chiueh, T. D. (2006). A low-power digit-based reconfigurable FIR filter. IEEE Circuits and Systems, 53(8), 617–621, August.

    Article  MATH  Google Scholar 

  36. Skodras, A., Christopoulos, C., & Ebrahimi, T. (2001). The JPEG 2000 still image compression standard. IEEE Signal Processing Magazine, 18(5), 36–58, September.

    Article  Google Scholar 

  37. Uzun, I., Amira, A., & Bouridane, A. (2004). An efficient architecture for 1-d discrete biorthogonal wavelet transform. In Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS ’04 (vol. 2, pp. 697–700), May.

Download references

Acknowledgements

The work of Ramsey Hourani was supported by the Office of Naval Research and Historically Black Engineering Colleges (ONR/HBEC) Future Engineering Faculty Fellowship Program.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ramsey Hourani.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hourani, R., Jenkal, R., Davis, W.R. et al. Automated Design Space Exploration for DSP Applications. J Sign Process Syst Sign Image Video Technol 56, 199–216 (2009). https://doi.org/10.1007/s11265-008-0226-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-008-0226-2

Keywords

Navigation