Skip to main content
Log in

Design Strategy for Clocking and Runtime Parametrization in the Channelization Accelerator of Multistandard Radios

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

The channelization function in the digital front-end is one of the most computationally intensive kernels in the software defined radio baseband. The channelization tasks of filtering and decimation can be efficiently performed by a multistage decimation filter structure. The individual filter stages within the decimation filter may operate at different clock rates which may be incommensurate. The multiplicity of clock signals required to support multiple standards necessitates that the clock generation circuitry be parameterizable. In addition to the clock rates of the filter stages, some of the filter stages themselves may need to be fully or partially parameterizable. The current work has two major contributions. Firstly we propose an architecture for generating the multiplicity of clock signals required in a each mode of a multistandard channelization accelerator using a single reference clock. Secondly we propose a mechanism for loading and locally storing the configuration data for the clock generation circuitry as well as the accelerator datapath while switching between standards.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7

Similar content being viewed by others

References

  1. Reed, J. H. (2002). Software radio: A modern approach to radio engineering (pp. 2–4). New Jersey: Prentice Hall.

  2. Berkel, K. V., et al. (2005). Vector processing as an enabler for software-defined radio in handheld devices. EURASIP Journal on Applied Signal Processing, 2005(1), 2613–2625.

    Article  Google Scholar 

  3. Nilsson, A., Tell, E., & Liu, D. (2004). An accelerator architecture for programmable multistandard baseband processors, in Proc. of WNET2004

  4. Hentschel, T., Henker, M., & Fettweis, G. P. (1999). The digital front-end of software radio terminals. IEEE Personal Communications, 6(4), 40–46.

    Article  Google Scholar 

  5. Ghazel, A., Naviner, L., & Grati, K. (2002). On design and implementation of a decimation filter for multistandard wireless transceivers. IEEE Transactions on Wireless Communications, 1(4), 558–562.

    Article  Google Scholar 

  6. Tao, Z., & Signell, S. (2006). Multi-standard delta-sigma decimation filter design, in Proc. of IEEE Asia Pacific Conference on Circuits and Systems. (pp. 1212–1215)

  7. Michael, N., Vinod, A. P., Moy, C., & Palicot, J. (2011). Design of multistandard channelization accelerators for software defined radio handsets. IEEE Transactions on Signal Processing, 59(10), 4767–4780.

    Article  MathSciNet  Google Scholar 

  8. Gao, Y., Jia, L., Isoaho, J., & Tenhunen, H. (1999). A comparison design of comb decimators for sigma-delta analog-to-digital converters. Analog Integrated Circuits and Signal Processing, 22, 51–60.

    Article  Google Scholar 

  9. Vaidyanathan, P. P. (1993). Multirate systems and filter banks, Prentice Hall, PTR

  10. Rusu, A., Dong, B., & Ismail, M. (2006). Putting the “FLEX” in flexible mobile wireless radios—A wideband bandpass sigma-delta ADC for software radios. IEEE Circuits and Devices Magazine, 22(6), 24–30.

    Article  Google Scholar 

  11. Vankka, J. (2005). Digital synthesizers and transmitters for software radio (pp. 239–257). NewYork: Springer-Verlag.

    Google Scholar 

  12. Achenbach, R., et al. (2000). A digitally temperature-compensated crystal oscillator. IEEE Journal of Solid-State Circuits, 35(10), 1502–1506.

    Article  Google Scholar 

  13. Barret, C. (Ed.) (1999). Fractional/integer-N PLL basics, Texas instruments wireless communication business unit, technical brief SWRA029

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Navin Michael.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Michael, N., Vinod, A.P., Moy, C. et al. Design Strategy for Clocking and Runtime Parametrization in the Channelization Accelerator of Multistandard Radios. J Sign Process Syst 78, 171–177 (2015). https://doi.org/10.1007/s11265-013-0849-9

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-013-0849-9

Keywords

Navigation