Skip to main content

Advertisement

Log in

A Dynamically Reconfigurable Dual-Waveform Baseband Modulator for Flexible Wireless Communications

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

In future wireless communication systems, several radio access technologies will coexist and interwork to provide a great variety of services with different requirements. Thus, the design of flexible and reconfigurable hardware is a relevant topic in wireless communications. The combination of high performance, programmability and flexibility makes Field-programmable gate array a convenient platform to design such systems, especially for base stations. This paper describes a dynamically reconfigurable baseband modulator for Orthogonal Frequency Division Multiplexing and Filter-bank Multicarrier modulation waveforms implemented on a Virtex-7 board. The design features Dynamic Partial Reconfiguration (DPR) capabilities to adapt its mode of operation at run-time and is compared with a functionally equivalent static multi-mode design regarding processing throughput, resource utilization, functional density and power consumption. The DPR-based design implementation reserves about half the resources used by static multi-mode counterpart. Consequently, the baseband processing dynamic power consumption observed in the DPR-based design is between 26 mW to 90 mW lower than in the static multi-mode design, representing a dynamic power reduction between 13% to 52%. The worst-case DPR latency measured was 1.051 ms, while the DPR energy overhead is below 1.5 mJ. Considering latency requirements for modern wireless standards and power consumption constraints for commercial base stations, the DPR application is shown to be valuable in multi-standard and multi-mode systems, as well as in scenarios such as multiple-input and multiple-output or dynamic spectrum aggregation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8

Similar content being viewed by others

References

  1. Zaidi, A. A., Baldemair, R., Tullberg, H., Bjorkegren, H., Sundstrom, L., Medbo, J., Kilinc, C., Silva, I. D. (2016). Waveform and numerology to support 5G services and requirements. IEEE Communications Magazine, 54(11), 90–98.

    Article  Google Scholar 

  2. Zhang, C., Liu, L., Öwall, V. (2016). Heterogeneous reconfigurable processors for real-Time baseband processing. Cham: Springer International Publishing.

    Book  Google Scholar 

  3. Andrews, J., Buzzi, S., Choi, W., Hanly, S., Lozano, A., Soong, A., Zhang, J. (2014). What Will 5G Be? IEEE Journal on Selected Areas in Communications, 32(6), 1065–1082.

    Article  Google Scholar 

  4. Cai, Y., Qin, Z., Cui, F., Li, G. Y., McCann, J. A. (2018). Modulation and multiple access for 5G networks. IEEE Communications Surveys Tutorials, 20(1), 629–646.

    Article  Google Scholar 

  5. Farhang-Boroujeny, B. (2011). OFDM Versus filter bank multicarrier. IEEE Signal Processing Magazine, 28 (3), 92–112. 10.1109/MSP.2011.940267.

    Article  Google Scholar 

  6. Banelli, P., Buzzi, S., Colavolpe, G., Modenini, A., Rusek, F., Ugolini, A. (2014). Modulation formats and waveforms for 5G networks: Who will be the heir of OFDM?: An overview of alternative modulation schemes for improved spectral efficiency. IEEE Signal Processing Magazine, 31(6), 80–93.

    Article  Google Scholar 

  7. Schellmann, M., Zhao, Z., Lin, H., Siohan, P., Rajatheva, N., Luecken, V., Ishaque, A. (2014). FBMC-Based air interface for 5G mobile: Challenges and proposed solutions. In 2014 9Th international conference on cognitive radio oriented wireless networks and communications (CROWNCOM), pp. 102–107.

  8. 3GPP, T.R.M.. (2016). Study on NR new radio access technology. Tech. Rep. RP-160671 3GPP.

  9. Tessier, R., Pocek, K., DeHon, A. (2015). Reconfigurable computing architectures. Proceedings of the IEEE, 103(3), 332–354. https://doi.org/10.1109/JPROC.2014.2386883.

    Article  Google Scholar 

  10. Crockett, L., Elliot, R., Enderwitz, M. (2014). The Zynq Book: Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq-7000 All Programmable SoC Strathclyde Academic Media.

  11. Rousseau, B., Manet, P., Delavallée, T., Loiselle, I., Legat, J. D. (2012). Dynamically reconfigurable architectures for software-defined radio in professional electronic applications, (pp. 437–455). Netherlands: Springer.

    Google Scholar 

  12. WARP Project. http://warpproject.org. Accessed: 21/08/2015.

  13. USRP N210 Software Defined Radio (SDR) - Ettus Research. https://www.ettus.com/product/details/UN210-KIT. Accessed: 2016-09-06.

  14. Le, K., Maddala, P., Gutterman, C., Soska, K., Dutta, A., Saha, D., Wolniansky, P., Grunwald, D., Seskar, I. (2012). Cognitive radio kit framework: Experimental platform for dynamic spectrum research. In Proceedings of the Seventh ACM International Workshop on Wireless Network Testbeds, Experimental Evaluation and Characterization, WiNTECH ’12 (pp. 3–10). New York: ACM.

  15. Dutta, A., Saha, D., Grunwald, D., Sicker, D. (2010). An architecture for Software Defined Cognitive Radio. In ACM/IEEE Symposium on architectures for networking and communications systems (ANCS), 2010, pp. 1–12.

  16. Chacko, J., Sahin, C., Nguyen, D., Pfeil, D., Kandasamy, N., Dandekar, K. (2014). FPGA-Based latency-insensitive OFDM pipeline for wireless research. In 2014 IEEE high performance extreme computing conference (HPEC), pp. 1–6.

  17. Zhang, B., & Guo, X. (2014). A novel reconfigurable architecture for generic OFDM modulator based on FPGA. In 16Th international conference on advanced communication technology, pp. 851–854.

  18. Orozco-Galvan, L., Parra-Michel, R., Romero-Aguirre, E. (2015). Reconfigurable architecture based on FPGA for OFDM transmitter. In 2015 7Th IEEE latin-American conference on communications (LATINCOM), pp. 1–6.

  19. Nadal, J., Nour, C. A., Baghdadi, A. (2016). Low-Complexity Pipelined architecture for FBMC/OQAM transmitter. IEEE Transactions on Circuits and Systems II: Express Briefs, 63, 19–23. https://doi.org/10.1109/TCSII.2015.2468926.

    Article  Google Scholar 

  20. Berg, V., & Doré, J. B. (2016). A flexible 5G receiver architecture adapted to VLSI implementation, (pp. 487–497). Cham: Springer International Publishing.

    Google Scholar 

  21. Carvalho, M., Ferreira, M. L., Ferreira, J. C. (2017). FPGA-Based implementation of a frequency spreading FBMC-OQAM baseband modulator. In 2017 24Th IEEE international conference on electronics, circuits and systems (ICECS), pp. 174–177.

  22. Nadal, J., Nour, C. A., Baghdadi, A. (2018). Flexible and efficient hardware platform and architectures for waveform design and proof-of-concept in the context of 5g. AEU - International Journal of Electronics and Communications, 97, 85–93. https://doi.org/10.1016/j.aeue.2018.09.030.

    Article  Google Scholar 

  23. Kazaz, T., Van Praet, C., Kulin, M., Willemen, P., Moerman, I. (2016). Hardware accelerated SDR platform for adaptive air interfaces. In ETSI Workshop on future radio technologies: Air interfaces, pp. 1–10. ETSI.

  24. He, K., Crockett, L., Stewart, R. (2011). Dynamic reconfiguration technologies based on FPGA in software defined radio system. Journal of Signal Processing Systems, 69(1), 75–85.

    Article  Google Scholar 

  25. Vipin, K., & Fahmy, S. A. (2015). Mapping adaptive hardware systems with partial reconfiguration using coPR for Zynq. In 2015 NASA/ESA Conference on adaptive hardware and systems (AHS), pp. 1–8.

  26. Shreejith, S., Banarjee, B., Vipin, K., Fahmy, S. A. (2015). Dynamic cognitive radios on the xilinx zynq hybrid FPGA. In Proceedings of the International Conference on Cognitive Radio Oriented Wireless Networks (CROWNCOM).

  27. Vipin, K., & Fahmy, S. (2014). ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq. IEEE Embedded Systems Letters, 6(3), 41–44. https://doi.org/10.1109/LES.2014.2314390.

    Article  Google Scholar 

  28. Pham, T. H., Fahmy, S. A., McLoughlin, I. V. (2017). An end-to-end multi-standard OFDM transceiver architecture using FPGA partial reconfiguration. IEEE Access, 5, 21,002–21,015. https://doi.org/10.1109/ACCESS.2017.2756914.

    Article  Google Scholar 

  29. Rihani, M. A. F., Mroue, M., Prévotet, J. C., Nouvel, F., Mohanna, Y. (2017). ARM-FPGA-based platform for reconfigurable wireless communication systems using partial reconfiguration. EURASIP Journal on Embedded Systems, 2017(1), 35. https://doi.org/10.1186/s13639-017-0083-9.

    Article  Google Scholar 

  30. Wyglinski, A. M., Nekovee, M., Hou, T. (2009). Cognitive radio communications and networks: principles and practice. Academic Press.

  31. Ferreira, M. L., Barahimi, A., Ferreira, J. C. (2016). Reconfigurable FPGA-based FFT processor for cognitive radio applications. In Bonato, V., Bouganis, C., Gorgon, M. (Eds.) Applied reconfigurable computing (pp. 223–232). Cham: Springer International Publishing.

    Google Scholar 

  32. He, S., & Torkelson, M. (1996). A new approach to pipeline FFT processor. In Proceedings of IPPS ’96, The 10th international parallel processing symposium, 1996., pp. 766–770.

  33. Löfgren, J., & Nilsson, P. (2011). On hardware implementation of radix 3 and radix 5 FFT kernels for LTE systems. In NORCHIP, 2011, pp. 1–4.

  34. MATLAB lteOFDMModulate. http://www.mathworks.com/help/lte/ref/lteofdmmodulate.html. Accessed: 2016-05-05.

  35. Bellanger, M., & et al. (2010). FBMC Physical layer: a primer. PHYDYAS Project: Tech. rep.

    Google Scholar 

  36. Bellanger, M. (2012). FS-FBMC: An alternative scheme for filter bank based multicarrier transmission. In 2012 5Th international symposium on communications, control and signal processing, pp. 1–4.

  37. Doré, J.B., Gerzaguet, R., Cassiau, N., Ktenas, D. Waveform contenders for 5g: Description, analysis and comparison 24, 46–61.

  38. FBMC vs. OFDM Modulation - MATLAB & Simulink Example. https://www.mathworks.com/help/comm/examples/fbmc-vs-ofdm-modulation.html. Accessed: 2017-08-08.

  39. Carvalho, M. (2017). FPGA Implementation of a baseband processor for FBMC transmission. MSc Thesis: Faculty of Engineering of the University of Porto.

    Google Scholar 

  40. Mattera, D., Tanda, M., Bellanger, M. (2015). Analysis of an FBMC/OQAM scheme for asynchronous access in wireless communications. EURASIP Journal on Advances in Signal Processing, 2015(1), 23. https://doi.org/10.1186/s13634-015-0191-4.

    Article  Google Scholar 

  41. Dinis, D. C., Cordeiro, R. F., Barradas, F. M., Oliveira, A. S. R., Vieira, J. (2016). Agile single- and dual-band all-digital transmitter based on a precompensated tunable delta-sigma modulator. IEEE Transactions on Microwave Theory and Techniques, 64(12), 4720–4730. https://doi.org/10.1109/TMTT.2016.2622696.

    Article  Google Scholar 

  42. Papadimitriou, K., Dollas, A., Hauck, S. (2011). Performance of Partial Reconfiguration in FPGA Systems: A Survey and a Cost Model. ACM Trans. Reconfigurable Technol. Syst., 4(4), 36:1–36:24.

    Article  Google Scholar 

  43. Xilinx Inc.: UG909 - Vivado Design Suite User Guide: Partial Reconfiguration (2015).

  44. ITU-R: Minimum requirements related to technical performance for IMT-2020 radio interface(s). Tech. Rep. M.2410-0, ITU-R (2017). https://www.itu.int/pub/R-REP-M.2410-2017.

  45. Wirthlin, M. J., & Hutchings, B. L. (1998). Improving functional density using run-time circuit reconfiguration [FPGAs]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 6(2), 247–256. https://doi.org/10.1109/92.678880.

    Article  Google Scholar 

  46. Liu, S., Pittman, R. N., Forin, A. (2009). Energy reduction with run-time partial reconfiguration. Tech. Rep MSR-TR-2009- 2017.

  47. Bonamy, R., Bilavarn, S., Chillet, D., Sentieys, O. (2014). Power consumption models for the use of dynamic and partial reconfiguration. Microprocessors and Microsystems, 38(8, Part B), 860–872.

    Article  Google Scholar 

  48. Moy, C., & Palicot, J. (2015). Software radio: a catalyst for wireless innovation. IEEE Communications Magazine, 53(9), 24–30. https://doi.org/10.1109/MCOM.2015.7263342.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mário Lopes Ferreira.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

This work is financed by the ERDF - European Regional Development Fund through the Operational Programme for Competitiveness and Internationalization - COMPETE 2020 Programme within project POCI-01-0145-FEDER-006961, by National Funds through the FCT - Fundação para a Ciência e a Tecnologia (Portuguese Foundation for Science and Technology) as part of project EXCL/EEI-TEL/0067/2012 and through Ph.D. Grant PD/BD/105860/2014

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ferreira, M.L., Ferreira, J.C. A Dynamically Reconfigurable Dual-Waveform Baseband Modulator for Flexible Wireless Communications. J Sign Process Syst 92, 409–424 (2020). https://doi.org/10.1007/s11265-019-01472-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-019-01472-7

Keywords

Navigation