Skip to main content
Log in

Adaptability and Configurability in Cognitive Radio Design on Small Form Factor Software Radio Platform

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

The recent advances in cognitive radio technology based on software defined radio platforms have extended the capabilities of wireless communication systems. The unique ability of cognitive radios to alter their communication protocols to meet changing system demands make them great candidates for wireless applications that are difficult to implement using conventional wireless terminals. Small form factor platforms make cognitive radio portable and easy to deploy. This paper discusses the design and implementation methodology to build a cognitive radio on small form factor platform with heterogeneous processing architecture. The result of this discussion is a configurable wireless transceiver that features two important concepts of cognitive radio, namely configurability and adaptability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. Website: www.sharedspectrum.com.

  2. Mitola J. (1995) The software radio architecture. Communications Magazine, IEEE 33(5): 26–38 doi:10.1109/35.393001

    Article  Google Scholar 

  3. Haykin S. (2005) Cognitive radio: Brain-empowered wireless communications. Selected Areas in Communications, IEEE Journal on, 23(2): 201–220. doi:10.1109/JSAC.2004.839380.

    Article  Google Scholar 

  4. Crocket, J. (1998). DSP architectures for wireless communications. International Symposium on advanced radio technologies

  5. Safadi, M. S., & Ndzi, D. L. (2006). Digital hardware choices for software radio (SDR) baseband implementation. Information and Communication Technologies, 2006.ICTTA ‘06.2nd 2, pp. 2623–2628, 24–28

  6. Tuttlebee, W. H. W. (2004) Software defined radio: Baseband technologies for 3G handsets and basestations (1st ed.). New york: Wiley, (March 1, 2004). ISBN-10: 0470867701.

  7. Mackenzie, P., Doyel, L., Nohan, K. E., & O’Mahony, D. (2002) An architecture for the development of software radios on general purpose processors. In Proceedings of the ISSC Irish signals and systems conference 2002, June 25–26, 2002, University College Cork and Cork Institute of Technology, Cork, Republic of Ireland. pp. 275–280.

  8. Lotze, J., Fahmy, S. A., Noguera, J., Doyle, L., & Esser, R. (2008) An FPGA-based cognitive radio framework. Signals and systems conference, 208. (ISSC 2008). IET Irish.

  9. Lee, J. S., Park, J. H., Kim, S. W., Li, Y., & Ryu, H. G. (2004) Implementation of DSP-based digital receiver for the SDR application. Communications, 2004 and the 5th international symposium on multi-dimensional mobile communications proceedings. The 2004 joint conference of the 10th Asia-Pacific conference on.

  10. Watanabe, S., Kunisawa, Y., Kamisaka, D., Inoue, T., & Takeuchi, Y. (2006) A software radio implementation of CDMA2000 1xEV-DO on a single DSP chip designed for mobile handset terminal. Vehicular Technology Conference, 2006. VTC-2006 Fall. 2006 IEEE 64th.

  11. Harada, H. (2008) A feasibility study on software defined cognitive radio equipment. New Frontiers in Dynamic Spectrum Access Networks, 2008. DySPAN 2008. 3rd IEEE Symposium on.

  12. Harada, H. (2005) Software defined radio prototype toward cognitive radio communication systems. New Frontiers in Dynamic Spectrum Access Networks, 2005. DySPAN 2005. 2005 First IEEE International Symposium on.

  13. Harada, H. (2007) A software defined cognitive radio prototype. Personal, Indoor and Mobile Radio Communications, 2007. PIMRC 2007. IEEE 18th International Symposium on (pp. 1–5, 3–7), Sept. doi:10.1109/PIMRC.2007.4394718.

  14. Delahaye, J. P., Gogniat, G., Roland, C., & Bomel, P. (2004). Software radio and dynamic reconfiguration on a DSP/FPGA platform. Frequenz, Journal of Telecommunications, 58, 152–159, 5–6/2004

    Google Scholar 

  15. Kwan, A., Boumaiza, S., Smith, M., & Ghannouchi, F. (2006). Automating the verification of SDR base band signal processing algorithms developed on DSP/FPGA platform. Signal Processing Systems Design and Implementation, 2006. SIPS ’06. IEEE Workshop on (pp. 5–9), Oct. doi:10.1109/SIPS.2006.352546.

  16. Chapin, J., Lum, V., & Muir, S. (2001). Experiences implementing GSM in RDL (the Vanu Radio Description Language), Military Communications Conference, 2001. MILCOM 2001. Communications for Network-Centric Operations: Creating the Information Force. IEEE, 1, 213–217.

  17. Hayes, N. (2005). The JTRS SCA specification-the past, the present, and the future. Military Communications Conference, 2005. MILCOM 2005. IEEE, 5, 2713–2719, 17–20 Oct.

  18. Bertrand J., Cruz J.W., Majkrzak B., Rossano T. (2002) CORBA delays in a software-defined radio. Communications Magazine, IEEE 40(2): 152–155

    Article  Google Scholar 

  19. Ekas, P., & Jentz, B. (2003) Developing and integrating FPGA coprocessor. Embedded Computing Design Magazine (Fall 2003)

  20. Brogioli, M., Radosavljevic, P., & Cavallaro, J.R. (2006). A general hardware/software co-design methodology for embedded signal processing and multimedia workloads. In 40th annual IEEE asilomar conference on signals, systems, and computers.

  21. Rajagopal, S., Jones, B. A., & Cavallaro, J. R. (2000). Task partitioning wireless base-station receiver algorithms on multiple DSPs and FPGAs. In International conference on signal processing, applications, and technology (ICSPAT), (Dallas, TX)

  22. Hentschel T., Henker M., Fettweis G. (1999) The digital front-end of software radio terminals. Personal Communications, IEEE [see also IEEE Wireless Communications] 6(4): 40–46

    Google Scholar 

  23. Tachwali, Y., Barnes, W. J., & Refai, H. H. (2008). Configurable bit synchronizers for software defined radio. Journal of Network and Computer Applications. Elsevier Available online 5 Aug 2008, ISSN 1084–8045, doi:10.1016/j.jnca.2008.07.009.

  24. Barnes, W. J., Tachwali, Y., & Refai, H. H. (2008). A configurable symbol synchronizer for digital systems. Global Telecommunications Conference, 2008. IEEE GLOBECOM 2008. IEEE, pp. 1–5, Nov. 30 2008–Dec. 4 2008

  25. Website: www.lyrtech.com

  26. Website: www.xilinx.com

  27. Website: http://cas.web.cern.ch/cas/Sweden-2007/Lectures/Sigtuna-lectures.htm

  28. Website: http://en.wikipedia.org/wiki/Error_correcting_code

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Y. Tachwali.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Tachwali, Y., Basma, F. & Refai, H.H. Adaptability and Configurability in Cognitive Radio Design on Small Form Factor Software Radio Platform. Wireless Pers Commun 62, 1–29 (2012). https://doi.org/10.1007/s11277-010-0035-3

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-010-0035-3

Keywords

Navigation