Skip to main content
Log in

Exact Distribution of the Max/Min of Two Correlated Random Variables

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Statistical static timing analysis involves the distributions of the maximum and minimum of correlated random variables. Nadarajah and Kotz (IEEE Trans Very Large Scale Integr Syst 16:210–2012, 2008) derived closed form expressions for the distributions when the random variables are Gaussian. Here, we extend the work when the random variables follow a wide range of non-Gaussian distributions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

References

  1. Abbaspour, S., Fatemi, H., & Pedram, M. (2006). Parameterized block-based non-Gaussian statistical gate timing analysis. In Proceedings of the Asia and South Pacific Conference on Design Automation (p. 6).

  2. Abulafia, Y., & Kornfeld, A. (2005). Estimation of FMAX and ISB in microprocessors. IEEE Transactions on Very Large Scale Integration Systems, 13, 1205–1209.

    Article  Google Scholar 

  3. Balakrishnan, N., & Lai, C. D. (2009). Continuous bivariate distributions. New York: Springer.

    MATH  Google Scholar 

  4. Baker, A.M. (2013). Max operation in statistical static timing analysis on the non-Gaussian variation sources for VLSI circuits. PhD Thesis, Department of Electrical and Computer Engineering, University of Nevada, Las Vegas, USA.

  5. Baker, A. M., & Jiang, Y. (2013). Modeling and architectural simulations of the statistical static timing analysis of the non-Gaussian variation. International Journal of Scientific and Research Publications, 3, 1–7.

    Google Scholar 

  6. Cao, Y., Huang, X. J., Chang, N. H., et al. (2002). Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. IEEE Transactions on Very Large Scale Integration Systems, 10, 799–805.

    Article  Google Scholar 

  7. Cao, Y., Yang, X. D., Huang, X. J., et al. (2005). Switch-factor based loop RLC modeling for efficient timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 13, 1072–1078.

    Article  Google Scholar 

  8. Chang, H., Zolotov, V., Narayan, S., & Visweswariah, C. (2005). Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions. In Proceedings of the 42nd Annual Design Automation Conference (pp. 71–76).

  9. Cheng, L., Gong, F., Xu, W., Xiong, J., He, L., & Sarrafzadeh, M. (2012). Fourier series approximation for max operation in non-Gaussian and quadratic statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 20, 1383–1391.

    Article  Google Scholar 

  10. Cheng, L., Xiong, J., & He, L. (2007). Non-linear statistical static timing analysis for non-Gaussian variation sources. In Proceedings of the 44th Annual Design Automation Conference (pp. 250–255).

  11. Chuang, C.Y., & Mak, W.K. (2009). Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. In Proceedings of the 10th International Symposium on Quality Electronic Design (pp. 68–73).

  12. Ding, P. (2016). On the conditional distribution of the multivariate \(t\) distribution. The American Statistician, 70, 293–295.

    Article  MathSciNet  Google Scholar 

  13. Eriksson, H., Larsson-Edefors, P., & Eckerbert, D. (2006). Toward architecture-based test-vector generation for timing verification of fast parallel multipliers. IEEE Transactions on Very Large Scale Integration Systems, 14, 370–379.

    Article  Google Scholar 

  14. Gradshteyn, I. S., & Ryzhik, I. M. (2000). Table of integrals, series, and products (6th ed.). San Diego: Academic Press.

    MATH  Google Scholar 

  15. Homma, K., Nitta, I., & Shibuya, T. (2008). Non-Gaussian statistical timing models of die-to-die and within-die parameter variations for full chip analysis. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference (pp. 292–297).

  16. Imai, M., Sato, T., Nakayama, N., & Masu, K. (2008). Non-parametric statistical static timing analysis: An SSTA framework for arbitrary distribution. In Proceedings of the 45th Annual Design Automation Conference (pp. 698–701).

  17. Kotz, S., & Nadarajah, S. (2004). Multivariate t distributions and their applications. Cambridge: Cambridge University Press.

    Book  Google Scholar 

  18. Nadarajah, S. (2015). Expansions for bivariate copulas. Statistics and Probability Letters, 100, 77–84.

    Article  MathSciNet  Google Scholar 

  19. Nadarajah, S., & Kotz, S. (2008). Exact distribution of the max/min of two Gaussian random variables. IEEE Transactions on Very Large Scale Integration Systems, 16, 210–212.

    Article  Google Scholar 

  20. Nelsen, R. B. (2006). An introduction to copulas (2nd ed.). New York: Springer.

    MATH  Google Scholar 

  21. Oh, C. H., & Mercer, M. R. (1996). Efficient logic-level timing analysis using constraint-guided critical path search. IEEE Transactions on Very Large Scale Integration Systems, 4, 346–355.

    Article  Google Scholar 

  22. Orshansky, M., & Bandyopadhyay, A. (2004). Fast statistical timing analysis handling arbitrary delay correlations. In Proceedings of the 41st Annual Design Automation Conference (pp. 337–342).

  23. Prudnikov, A. P., Brychkov, Y. A., & Marichev, O. I. (1986). Integrals and series, volumes 1, 2 and 3. Amsterdam: Gordon and Breach Science Publishers.

    MATH  Google Scholar 

  24. Ramprasath, S., Vijaykumar, M., & Vasudevan, V. (2016). A skew-normal canonical model for statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 24, 2359–2368.

    Article  Google Scholar 

  25. Shaw, W. T., & Buckley I. R. C. (2009). The alchemy of probability distributions: Beyond Gram-Charlier expansions, and a skew-kurtotic-normal distribution from a rank transmutation map. arXiv:0901.0434v1

  26. Singh, J., & Sapatnekar, S. (2006). Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis. In Proceedings of the 43rd ACM/IEEE Design Automation Conference (pp. 155–160).

  27. Taskin, B., & Kourtev, I. S. (2004). Linearization of the timing analysis and optimization of level-sensitive digital synchronous circuits. IEEE Transactions on Very Large Scale Integration Systems, 12, 12–27.

    Article  Google Scholar 

  28. Tsukiyama, S., & Fukui, M. (2013). A new delay distribution model with a half triangular distribution for statistical static timing analysis. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E96–A, 2542–2552.

    Article  Google Scholar 

  29. Valentian, A., Thomas, O., Vladimirescu, A., et al. (2004). Modeling subthreshold SOI logic for static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 12, 662–668.

    Article  Google Scholar 

  30. Vijayabhasker, V., & Purushotham Naik, R. (2014). Static timing analysis of the non-Gaussian variation sources for VLSI circuits. International Journal of Computer Science and Mobile Applications, 2, 53–57.

    Google Scholar 

  31. Vijaykumar, M., & Vasudevan, V. (2014). Statistical static timing analysis using a skew-normal canonical delay model. In Proceedings of the Conference on Design, Automation and Test in Europe, Article No. 258.

  32. Zhan, Y., Strojwas, A.J., Li, X., Pileggi, L.T., Newmark, D., & Sharma, M. (2005). Correlation-aware statistical timing analysis with non-Gaussian delay distributions. In Proceedings of the 42nd Design Automation Conference (pp. 77–82).

  33. Zhang, L., Chen, W., Hu, Y., Gubner, J.A., & Chen, C.C.P. (2005). Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model. In Proceedings of the 42nd Annual Design Automation Conference (pp. 83–88).

  34. Zjajo, A., Tang, Q., Berkelaar, M., & van der Meijs, N. (2011). Accuracy consideration of a non-Gaussian interconnect delay model for submicron CMOS statistical static timing analysis. In Proceedings of the IEEE 4th International Nanoelectronics Conference (pp. 1–2).

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. Nadarajah.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, Y., Nadarajah, S. Exact Distribution of the Max/Min of Two Correlated Random Variables. Wireless Pers Commun 116, 1593–1612 (2021). https://doi.org/10.1007/s11277-020-07750-z

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-020-07750-z

Keywords

Navigation