Skip to main content
Log in

Design and Simulation for NBTI Aware Logic Gates

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Reliability of the electronic circuits is one of the most prominent factor in the development of very large-scale integration (VLSI) industry. Huge demand for compact size and high performance of electronic devices leads the excessive scaling of the transistor. Aggressive scaling of transistor in ultra-deep submicron regime arises the problem of short channel effects (SCEs) which is the major reason for subthreshold leakage and reliability issues. Negative input voltage to p-channel metal oxide semiconductor (PMOS) transistor causes interface traps at silicon dioxide and silicon substrate interface which leads to increase in the threshold voltage of the transistor and degrades the circuit performance. The design parameters exceed the design specification and causes the variations in timing due to negative bias temperature instability (NBTI) degradation that results logic failure. This paper presents the impact of reliability variations on 32 nm complementary metal oxide semiconductor (CMOS) predictive technology model (PTM) and 20 nm multi-gate PTM fin-shaped field effect transistor (FinFET) circuits. NBTI degradation of various circuits are evaluated with the help of Cadence’s virtuoso tool containing Spectre native reliability simulator. Simulation results are showing that NBTI degradation causes variations in threshold voltage and alters the output performance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1.
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16

Similar content being viewed by others

References

  1. Prakash, O., Beniwal, S., Maheshwaram, S., Bulusu, A., Singh, N., & Manhas, S. K. (2017). Compact NBTI reliability modeling in Si nanowire MOSFETs and effect in circuits. IEEE Transactions on Device and Materials Reliability, 17(2), 404–413

    Article  Google Scholar 

  2. Mann, R. W., Zhao, M., Kwon, O. S., Cao, X., Parihar, S., Karim, M. A. U., & Carter, R. (2020). Bias-dependent variation in FinFET SRAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems28(5), 1341–1344.

  3. Gupta, A., Mathur, R., & Nizamuddin, M. (2019). Design, simulation and comparative analysis of a novel FinFET based astable multivibrator. AEU-International Journal of Electronics and Communications, 100, 163–171

    Article  Google Scholar 

  4. Zimpeck, A. L., Meinhardt, C., & Reis, R. A. L. (2015). Impact of PVT variability on 20 nm FinFET standard cells. Microelectronics Reliability, 55(9–10), 1379–1383

    Article  Google Scholar 

  5. Kajal, Sharma, V. K. (2020). FinFET: A Beginning of Non-planar Transistor Era. In Nanoscale VLSI (pp. 139–159). Springer.

  6. Bagheriye, L., Toofan, S., Saeidi, R., & Moradi, F. (2019). Highly stable, low power FinFET SRAM cells with exploiting dynamic back-gate biasing. Integration, 65, 128–137

    Article  Google Scholar 

  7. Taghipour, S., & Asli, R. N. (2017). Aging comparative analysis of high-performance FinFET and CMOS flip-flops. Microelectronics Reliability, 69, 52–59

    Article  Google Scholar 

  8. Mukhopadhyay, S., Lee, Y. H., & Lee, J. H. (2018). Time-zero-variability and BTI impact on advanced FinFET device and circuit reliability. Microelectronics Reliability, 81, 226–231

    Article  Google Scholar 

  9. Zimpeck, A. L., Meinhardt, C., Artola, L., Hubert, G., Kastensmidt, F. L., & Reis, R. A. L. (2018). Impact of different transistor arrangements on gate variability. Microelectronics Reliability, 88, 111–115

    Article  Google Scholar 

  10. Stathis, J. H., & Zafar, S. (2006). The negative bias temperature instability in MOS devices: A review. Microelectronics Reliability, 46(2–4), 270–286

    Article  Google Scholar 

  11. Grasser, T., Waltl, M., Rzepa, G., Goes, W., Wimmer, Y., El-Sayed, A. M., & Kaczer, B. (2016). The “permanent” component of NBTI revisited: saturation, degradation-reversal, and annealing. In 2016 IEEE International Reliability Physics Symposium (IRPS) (pp. 5A-2). IEEE.

  12. Mahapatra, S., Goel, N., Desai, S., Gupta, S., Jose, B., Mukhopadhyay, S., & Alam, M. A. (2013). A comparative study of different physics-based NBTI models. IEEE Transactions on Electron Devices, 60(3), 901–916

    Article  Google Scholar 

  13. Sharifi, M. J., & Ahmadian, M. (2018). Novel designs for digital gates based on single electron devices to overcome the traditional limitation on speed and bit error rate. Microelectronics Journal, 73, 12–17

    Article  Google Scholar 

  14. Choudhury, N., Parihar, N., & Mahapatra, S. (2020). Analysis of the hole trapping detrapping component of NBTI over extended temperature range. In 2020 IEEE International Reliability Physics Symposium (IRPS) (pp. 1–5). IEEE.

  15. Jin, S., & Han, Y. (2012). M-IVC: Applying multiple input vectors to co-optimize aging and leakage. Microelectronics Journal, 43(11), 838–847

    Article  Google Scholar 

  16. Ma, C., Li, X., Sun, F., Zhang, L., & Lin, X. (2016). Investigation of the NBTI induced mobility degradation for precise circuit aging simulation. In 2016 IEEE International Nanoelectronics Conference (INEC) (pp. 1–2). IEEE.

  17. Chaudhary, A., & Mahapatra, S. (2013). A physical and SPICE mobility degradation analysis for NBTI. IEEE Transactions on Electron Devices, 60(7), 2096–2103

    Article  Google Scholar 

  18. Arqub, O. A., & Abo-Hammour, Z. (2014). Numerical solution of systems of second-order boundary value problems using continuous genetic algorithm. Information Sciences, 279, 396–415

    Article  MathSciNet  Google Scholar 

  19. Arqub, O. A., Al-Smadi, M., Momani, S., & Hayat, T. (2017). Application of reproducing kernel algorithm for solving second-order, two-point fuzzy boundary value problems. Soft Computing, 21(23), 7191–7206

    Article  Google Scholar 

  20. Arqub, O. A. (2017). Adaptation of reproducing kernel algorithm for solving fuzzy Fredholm-Volterra integrodifferential equations. Neural Computing and Applications, 28(7), 1591–1610

    Article  Google Scholar 

  21. Alwan, M., Beydoun, B., Ketata, K., & Zoaeter, M. (2007). Bias temperature instability from gate charge characteristics investigations in n-channel power MOSFET. Microelectronics Journal, 38(6–7), 727–734

    Article  Google Scholar 

  22. Monga, U., Khandelwal, S., Aghassi, J., Sedlmeir, J., & Fjeldly, T. A. (2012). Assessment of NBTI in Presence of Self-Heating in High-k SOI FinFETs. IEEE Electron Device Letters, 33(11), 1532–1534

    Article  Google Scholar 

  23. Mishra, S., & Mahapatra, S. (2016). On the impact of time-zero variability, variable NBTI, and stochastic TDDB on SRAM cells. IEEE Transactions on Electron Devices, 63(7), 2764–2770

    Article  Google Scholar 

  24. Mishra, S., Parihar, N., Anandkrishnan, R., Dabhi, C. K., Chauhan, Y. S., & Mahapatra, S. (2018). NBTI-related variability impact on 14-nm node FinFET SRAM performance and static power: Correlation to time zero fluctuations. IEEE Transactions on Electron Devices, 65(11), 4846–4853

    Article  Google Scholar 

  25. Parihar, N., Sharma, U., Southwick, R. G., Wang, M., Stathis, J. H., & Mahapatra, S. (2017). Ultrafast measurements and physical modeling of NBTI stress and recovery in RMG FinFETs under diverse DC–AC experimental conditions. IEEE Transactions on Electron Devices, 65(1), 23–30

    Article  Google Scholar 

  26. Kim, J., Hong, K., Shim, H., Rhee, H., & Shin, H. (2020). Comparative Analysis of Hot Carrier Degradation (HCD) in 10-nm Node nMOS/pMOS FinFET Devices. IEEE Transactions on Electron Devices, 67(12), 5396–5402

    Article  Google Scholar 

  27. Zhou, L., Wang, G., Yin, X., Ji, Z., Liu, Q., Xu, H., & Wang, W. (2020). Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal. Microelectronics Reliability, 107, 113627

    Article  Google Scholar 

  28. Yang, Y. L., Zhang, W., Yan, S. Y., Yu, Y. H., Fang, Z. Y., & Yeh, W. K. (2020). Study on device reliability for P-type FinFETs with different fin numbers. Vacuum, 181, 109601

    Article  Google Scholar 

  29. Johannah, J. J., Korah, R., & Kalavathy, M. (2017). Standby and dynamic power minimization using enhanced hybrid power gating structure for deep-submicron CMOS VLSI. Microelectronics Journal, 62, 137–145

    Article  Google Scholar 

  30. Srinivasan, N., Prakash, N. S., Shalakha, D., Sivaranjani, D., & Sundari, B. B. T. (2015). Power reduction by clock gating technique. Procedia Technology, 21, 631–635

    Article  Google Scholar 

  31. Calimera, A., Macii, E., & Poncino, M. (2010). NBTI-aware clustered power gating. ACM Transactions on Design Automation of Electronic Systems (TODAES), 16(1), 1–25

    Article  Google Scholar 

  32. Parthasarathy, C. R., Denais, M., Huard, V., Ribes, G., Roy, D., Guerin, C., & Bravaix, A. (2006). Designing in reliability in advanced CMOS technologies. Microelectronics Reliability, 46(9–11), 1464–1471

    Article  Google Scholar 

  33. Yang, Z., Yu, Y., Zhang, C., & Peng, X. (2016). NBTI-aware adaptive minimum leakage vector selection using a linear programming approach. Integration, 53, 126–137

    Article  Google Scholar 

  34. Khoshavi, N., Ashraf, R. A., DeMara, R. F., Kiamehr, S., Oboril, F., & Tahoori, M. B. (2017). Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods. Integration, 59, 10–22

    Article  Google Scholar 

  35. Sharma, V. K., & Pattanaik, M. (2016). Design of low leakage variability aware ONOFIC CMOS standard cell library. Journal of Circuits, Systems and Computers, 25(11), 1650134

    Article  Google Scholar 

  36. Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proceedings of the IEEE, 91(2), 305–327

    Article  Google Scholar 

  37. Sun, P., Yang, Z., Yu, Y., Li, J., & Peng, X. (2017). NBTI and power reduction using an input vector control and supply voltage assignment method. Algorithms, 10(3), 94

    Article  MathSciNet  Google Scholar 

  38. Cadence, “Analog Reliability Analysis for Mission-Critical Applications,” United Kingdom. (2018). Available:https://www.cadence.com/content/dam/cadencewww/global/en_US/documents/tools/custom-ic-analog-rf-design/rethinking-reliability-wp.pdf.

  39. JEDEC Solid State Technology Association. "Methods for Calculating Failure Rates in Units of FITs." JESD85, July (2001). Available: https://www.jedec.org/standards-documents/docs/jesd-85. Accessed August 2018.

  40. Cadence, “Reliability Simulation in Integrated Circuit Design,” United Kingdom. (2016). Available:https://www.yumpu.com/en/document/view/30052561/reliability-simulation-in-integrated-circuit-design-cadence.

  41. Mahajan, D., & Ruparelia, V. (2018). Reliability simulation and analysis of important RF circuits using cadence Relxpert. In 2018 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT) (pp. 1–6). IEEE.

  42. Kajal, & Sharma, V. K. (2020). A Novel Low Power Technique for FinFET Domino OR Logic. Journal of Circuits, Systems and Computers, 2150117.

Download references

Funding

None.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vijay Kumar Sharma.

Ethics declarations

Conflict of interest

The authors declare that they have no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kajal, Sharma, V.K. Design and Simulation for NBTI Aware Logic Gates. Wireless Pers Commun 120, 1525–1542 (2021). https://doi.org/10.1007/s11277-021-08522-z

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-021-08522-z

Keywords

Navigation