Skip to main content

Advertisement

Log in

Improving the Performance and Energy Efficiency of Phase Change Memory Systems

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

Phase change memory (PCM) is a promising technology for future memory thanks to its better scalability and lower leakage power than DRAM (dynamic random-access memory). However, adopting PCM as main memory needs to overcome its write issues, such as long write latency and high write power. In this paper, we propose two techniques to improve the performance and energy-efficiency of PCM memory systems. First, we propose a victim cache technique utilizing the existing buffer in the memory controller to reduce PCM memory accesses. The key idea is reorganizing the buffer into a victim cache structure (RBC) to provide additional hits for the LLC (last level cache). Second, we propose a chip parallelism-aware replacement policy (CPAR) for the victim cache to further improve performance. Instead of evicting one cache line once, CPAR evicts multiple cache lines that access different PCM chips. CPAR can reduce the frequent victim cache eviction and improve the write parallelism of PCM chips. The evaluation results show that, compared with the baseline, RBC can improve PCM memory system performance by up to 9.4% and 5.4% on average. Combing CPAR with RBC (RBC+CPAR) can improve performance by up to 19.0% and 12.1% on average. Moreover, RBC and RBC+CPAR can reduce memory energy consumption by 8.3% and 6.6% on average, respectively.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. Lefurgy C, Rajamani K, Rawson F, Felter W, Kistler M, Keller T W. Energy management for commercial servers. IEEE Computer, 2003, 36(12): 39-48.

    Article  Google Scholar 

  2. Lim K, Ranganathan P, Chang J, Patel C, Mudge T, Reinhardt S. Understanding and designing new server architectures for emerging warehouse-computing environments. In Proc. the 35th Int. Symp. Computer Architecture, Jun. 2008, pp.315-326.

  3. Udipi A N, Muralimanohar N C, Chatterjee N et al. Rethinking DRAM design and organization for energyconstrained multi-cores. ACM SIGARCH Computer Architecture News, 2010, 38(3): 175-186.

    Article  Google Scholar 

  4. Hay A, Strauss K, Sherwood T, Loh G H, Burger D. Preventing PCM banks from seizing too much power. In Proc. the 44th IEEE/ACM Int. Symp. Microarchitecture, Dec. 2011, pp.186-195.

  5. Shi L, Xue C J, Hu J, Tseng W, Zhou X, Sha E H M. Write activity reduction on flash main memory via smart victim cache. In Proc. the 20th ACM Great Lakes Symposium on VLSI, May 2010, pp.91-94.

  6. Lee Y, Kim S, Hong S, Lee J. Skinflint DRAM system: Minimizing DRAM chip writes for low power. In Proc. the 19th IEEE Int. Symp. High Performance Computer Architecture, Feb. 2013, pp.25-34.

  7. Abts D, Bataineh A, Scott S, Faanes G, Schwarzmeier J, Lundberg E, Johnson T, Bye M, Schwoerer G. The Cray BlackWidow: A highly scalable vector multiprocessor. In Proc. ACM/IEEE Conf. Supercomputing, Nov. 2007, Article No. 17.

  8. Liptay J S. Structural aspects of the System/360 model 85: II the cache. IBM System Journal, 1968, 7(1): 15-21.

    Article  Google Scholar 

  9. Rothman J B, Smith A J. Sector cache design and performance. In Proc. the 8th Int. Symp. Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Aug. 2000, pp.124-133.

  10. Zheng H, Lin J, Zhang Z, Gorbatov E, David H, Zhu Z. Mini-rank: Adaptive DRAM architecture for improving memory power efficiency. In Proc. the 41st IEEE/ACM Int. Symp. Microarchitecture, Nov. 2008, pp.210-221.

  11. Brewer T M. Instruction set innovations for the Convey HC-1 computer. IEEE Micro, 2010, 30(2): 70-79.

    Article  Google Scholar 

  12. Binkert N, Beckmann B, Black G, Reinhardt S K, Saidi A, Basu A, Hestness J, Hower D R, Krishna T, Sardashti S, Sen R, Sewell K, Shoaib M, Vaish N, Hill M D, Wood D A. The gem5 simulator. ACM SIGARCH Computer Architecture News, 2011, 39(2): 1-7.

    Article  Google Scholar 

  13. Rosenfeld P, Cooper-Balis E, Jacob B. DRAMSim2: A cycle accurate memory system simulator. Computer Architecture Letters, 2011, 10(1): 16-19.

    Article  Google Scholar 

  14. Lee B C, Ipek E, Mutlu O, Burger D. Architecting phase change memory as a scalable dram alternative. ACM SIGARCH Computer Architecture News, 2009, 37(3): 2-13.

    Article  Google Scholar 

  15. Yang B, Lee J, Kim J, Cho J, Lee S, Yu B G. A low power phase-change random access memory using a datacomparison write scheme. In Proc. IEEE Int. Symp. Circuits and Systems, May. 2007, pp.3014-3017.

  16. Zhou P, Zhao B, Yang J, Zhang Y. A durable and energy efficient main memory using phase change memory technology. In Proc. the 36th Int. Symp. Computer Architecture, Jun. 2009, pp.14-23.

  17. Cho S, Lee H. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In Proc. the 42nd IEEE/ACM Int. Symp. Microarchitecture, Dec. 2009, pp.347-357.

  18. Qureshi M K, Srinivasan V, Rivers J A. Scalable high performance main memory system using phase-change memory technology. In Proc. the 36th Int. Symp. Computer Architecture, Jun. 2009, pp.24-33.

  19. Lee H G, Baek S, Nicopoulos C, Kim J. An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems. In Proc. the 29th IEEE Int. Conf. Computer Design, Oct. 2011, pp.381-387.

  20. Ramos L E, Gorbatov E, Bianchini R. Page placement in hybrid memory systems. In Proc. the Int. Conf. Supercomputing, Nov. 2011, pp.85-95.

  21. Jiang L, Zhang Y, Childers B R, Yang J. FPB: Fine-grained power budgeting to improve write throughput of multi-level cell phase change memory. In Proc. the 45th IEEE/ACM Int. Symp. Microarchitecture, Dec. 2012, pp.1-12.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qi Wang.

Additional information

This work was supported by the National Science and Technology Major Projects of China under Grant No. 2009ZX01 034-001-002-005 and the Knowledge Innovation Project of Institute of Acoustics, Chinese Academy of Sciences.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, Q., Li, JR. & Wang, DH. Improving the Performance and Energy Efficiency of Phase Change Memory Systems. J. Comput. Sci. Technol. 30, 110–120 (2015). https://doi.org/10.1007/s11390-015-1508-3

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-015-1508-3

Keywords

Navigation