Skip to main content
Log in

Looking into the future of Nanoelectronics in the Diversification Efficient Era

  • Review
  • Special Focus on Advanced Microelectronics Technology
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

The linear scaling of CMOS has encountered, since its beginning, many hurdles which request new process modules, driven mainly by the maximization of energy efficiency. Fabrication at the sub 10 nm node level will request Intrinsic Variability approaching to zero. The rapid growth of mobile, multifunctional and autonomous systems is hardly demanding to reach Zero Power consumption. The solutions to integrate Thin Film based devices, architectures and systems in order to face these challenges are described.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. Fettweis G, Zimmermann E. ICT energy consumption—trends and challenges. In: Proceedings of 11th International Symposium on Wireless Personal Multimedia Communications, Dresden, 2008. 1–6

    Google Scholar 

  2. Reller A. Criticality of metal resources for functional materials used in electronics and microelectronics. Phys Status Solidi, 2011, 5: 309–311

    Google Scholar 

  3. Van Belle G. Statistical Rules of Thumb. 2nd ed. Hoboken: Wiley-Interscience, 2008. 99

    Book  MATH  Google Scholar 

  4. Faynot O, Andrieu F, Weber O, et al. Planar fully depleted SOI technology: a powerful architecture for the 20nm node and beyond. In: Proceedings of 2010 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2010. 3.2.1–3.2.4

    Google Scholar 

  5. Weber O, Josse E, Andrieu F, et al. 14nm FDSOI technology for high speed and energy efficient applications. In: Digest of Technical Papers of 2014 Symposium on VLSI Technology (VLSI-Technology), Honolulu, 2014. 1–2

    Google Scholar 

  6. Weber O, Josse E, Mazurier J, et al. 14nm FDSOI upgraded device performance for ultra-low voltage operation. In: Proceedings of 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, 2015. 168–169

    Chapter  Google Scholar 

  7. Ventosa C, Morales C, Libralesso L, et al. Mechanism of thermal silicon oxide direct wafer bonding. Electrochem Solid-State Lett, 2009, 12: H373–H375

    Article  Google Scholar 

  8. Deleonibus S, Faynot O, Ernst T, et al. Future challenges and opportunities for heterogeneous process technology. Towards the thin films, zero intrinsic variability devices, zero power era. In: Proceedings of 2014 IEEE International Electron Devices Meeting, San Francisco, 2014. 9.2.1–9.2.4

    Chapter  Google Scholar 

  9. Weber O, Faynot O, Andrieu F, et al. High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding. In: Proceedings of 2008 IEEE International Electron Devices Meeting, San Francisco, 2008. 1–4

    Google Scholar 

  10. Barraud S, Coquand R, Maffini-Alvaro V, et al. Scaling of Ω-gate SOI nanowire N- and P- FET down to 10nm gate length: size-and orientation-dependent strain effects. In: Proceedings of 2013 Symposium on VLSI Technology (VLSIT), Kyoto, 2013. T230–T231

    Google Scholar 

  11. Deleonibus S. Intelligent Integrated Systems. Vol 1. Singapore: Pan Stanford Publishing Corp., 2014

    Google Scholar 

  12. Ernst T, Duraffourg L, Dupré C, et al. Novel Si-based nanowire devices: will they serve ultimate MOSFETs scaling or ultimate hybrid integration? In: Proceedings of 2008 IEEE International Electron Devices Meeting, San Francisco, 2008. 1–4

    Chapter  Google Scholar 

  13. Coquand R, Casse M, Barraud S, et al. Strain-induced performance enhancement of tri-gate and Ω-gate nanowire FETs scaled down to 10nm width. In: Proceedings of 2012 Symposium on VLSI Technology (VLSIT), Honolulu, 2012. 13–14

    Chapter  Google Scholar 

  14. Carron V, Nemouchi F, Milesi F, et al. Thermal stability enhancement of Ni-based silicides, germano-silicides and germanides using W and F implantation for 3D CMOS sequential integration. In: Proceedings of 2014 International Workshop on Junction Technology (IWJT), Shanghai, 2014. 1–6

    Google Scholar 

  15. Hutin L, Vinet M, Poiroux T, et al. Dual metallic source and drain integration on planar single and double gate SOI CMOS down to 20nm: performance and scalability assessment. In: Proceedings of 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, 2009. 1–4

    Chapter  Google Scholar 

  16. Vinet M, Poiroux T, Licitra C, et al. Self-aligned planar double-gate MOSFETs by bonding for 22-nm node, with metal gates, high-dielectrics, and metallic source/drain. IEEE Electron Dev Lett, 2009, 30: 748–750

    Article  Google Scholar 

  17. Wilson R, Beigne E, Flatresse P, et al. A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking. In: Digest of Technical Papers of 2014 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco 2014. 452–453

    Chapter  Google Scholar 

  18. Arcamone J, Philippe J, Arndt G, et al. monolithically integrated with CMOS: emerging applications and technologies. In: Proceedings of 2014 IEEE International Electron Devices Meeting, San Francisco, 2014. 22.1.1–22.1.4

    Chapter  Google Scholar 

  19. Kita K, Toriumi A. Intrinsic origin of electric dipoles formed at high-k/SiO2 interface. In: Proceedings of 2008 IEEE International Electron Devices Meeting, San Francisco, 2008. 1–4

    Chapter  Google Scholar 

  20. Gupta S, Manik P P, Mishra R K, et al. Contact resistivity reduction through interfacial layer doping in metalinterfacial layer-semiconductor contacts. J Appl Phys, 2013, 113: 234505

    Article  Google Scholar 

  21. Mayer F, Le Royer C, Damlencourt J F, et al. Impact of SOI, Si1-x GexOI and GeOI substrates on CMOS compatible Tunnel FET performance. In: Proceedings of 2008 IEEE International Electron Devices Meeting, San Francisco, 2008. 1–5

    Chapter  Google Scholar 

  22. Tomioka K, Yoshimura M, Nakai E, et al. Integration of III-V nanowires on Si: from high-performance vertical FET to steepslope switch. In: Proceedings of 2013 IEEE International Electron Devices Meeting, Washington DC, 2013. 4.4.1–4.4.4

    Google Scholar 

  23. Avci U E, Rios R, Kuhn K, et al. Comparison of performance, switching energy and process variations for the TFET and MOSFET in logic. In: Proceedings of 2011 Symposium on VLSI Technology (VLSIT), Honolulu, 2011. 124–125

    Google Scholar 

  24. Villalon A, Le Royer C, Nguyen P, et al. First demonstration of strained SiGe nanowires TFETs with ION beyond 700µA/µm. In: Digest of Technical Papers of 2014 Symposium on VLSI Technology (VLSI-Technology), Honolulu, 2014. 1–2

    Google Scholar 

  25. International Technology Roadmap for Semiconductors (ITRS). Available at http://www.itrs2.net/

  26. Molas G, Deleruyelle D, De Salvo B, et al. IEDM 2004, Impact of few electron phenomena on floating-gate memory reliability. In: Technical Digest of IEEE International Electron Devices Meeting, San Francisco, 2004. 877–880

    Google Scholar 

  27. Wacquez R, Vinet M, Pierre M, et al. Single dopant impact on electrical characteristics of SOI NMOSFETs with effective length down to 10nm. In: Proceedings of 2010 Symposium on VLSI Technology, Honolulu, 2010. 193–194

    Chapter  Google Scholar 

  28. Vinet M, Deshpande V, Jehl X, et al. FDSOI nanowires: an opportunity for hybrid circuit with field effect and single electron transistors. In: Proceedings of 2013 IEEE International Electron Devices Meeting, Washington DC, 2013. 26.4.1–26.4.4

    Chapter  Google Scholar 

  29. Roche B, Riwar R-P, Voisin B, et al. A two-atom electron pump. Nat Commun, 2013, 4: 1581

    Article  Google Scholar 

  30. Shinada T, Hori M, Guagliardo F, et al. Quantum transport in deterministically implanted single-donors in Si FETs. In: Proceedings of 2011 IEEE International Electron Devices Meeting (IEDM), Washington DC, 2011. 30.4.1–30.4.4

    Google Scholar 

  31. Fuechsle M, Mahapatra S, Zwanenburg F A, et al. Spectroscopy of few-electron single-crystal silicon quantum dots. Nat Nanotech, 2010, 5: 502–505

    Article  Google Scholar 

  32. Mathey L, Veyre L, Fontaine H, et al. Deterministic positioning of dopants on silicon wafers for ultimate processes. In: Proceedings of 217th meeting of the Electroch Society, Hawai, 2012

    Google Scholar 

  33. Ma N, Jena D. Charge scattering and mobility in atomically thin semiconductors. Phys Rev X, 2014, 4: 011043

    Google Scholar 

  34. Kim K S, Zhao Y, Jang H, et al. Large-scale pattern growth of graphene films for stretchable transparent electrodes. Nature, 2009, 457: 706–710

    Article  Google Scholar 

  35. Mak K F, Lee C, Hone J, et al. Atomically thin MoS2: a new direct-gap semiconductor. Phys Rev Lett, 2010, 105: 136805

    Article  Google Scholar 

  36. Lopez-Sanchez O, Llado E A, Koman V, et al. Light generation and harvesting in a van der Waals heterostructure. ACS Nano, 2014, 8: 3042–3048

    Article  Google Scholar 

  37. Tiron R, Gharbi A, Argoud M, et al. The potential of block copolymer’s directed self-assembly for contact hole shrink and contact multiplication. Proc SPIE, 2013, 8680: 868012

    Article  Google Scholar 

  38. Posseme N, Pollet O, Barnola S. Alternative process for thin layer etching: application to nitride spacer etching stopping on silicon germanium. Appl Phys Lett, 2014, 105: 051605

    Article  Google Scholar 

  39. Dijon J, Okuno H, Fayolle M, et al. Ultra-high density carbon nanotubes on Al-Cu for advanced vias. In: Proceedings of 2010 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2010. 33.4.1–33.4.4

    Google Scholar 

  40. Clavé G, Chatelain G, Filoramo A, et al. Synthesis of a multibranched porphyrin-oligonucleotide scaffold for the construction of DNA-based nano-architectures. Org Biomol Chem, 2014, 12: 2778–2783

    Article  Google Scholar 

  41. Suri M, Bichler O, Querlioz D, et al. CBRAM devices as binary synapses for low-power stochastic neuromorphic systems: auditory (Cochlea) and visual (Retina) cognitive processing applications. In: Proceedings of 2012 IEEE International Electron Devices Meeting (IEDM), San Francisco, 2012. 10.3.1–10.3.4

    Google Scholar 

  42. Vianello E, Thomas O, Molas G, et al. Resistive memories for ultra-low-power embedded computing design. In: Proceedings of 2014 IEEE International Electron Devices Meeting, San Francisco, 2014. 6.3.1–6.3.4

    Chapter  Google Scholar 

  43. Ghezzi G E, Morel R, Brenac A, et al. Crystallization of Ge2Sb2Te5 nanometric phase change material clusters made by gas-phase condensation. Appl Phys Lett, 2012, 101: 233113

    Article  Google Scholar 

  44. Lattard L. Maskless lithography for volume manufacturing. In: Proceedings of SEMICON Europa 2014, Grenoble, 2014

    Google Scholar 

  45. Houri S, Billiot G, Belleville M, et al. Limits of CMOS technology and interest of NEMS relays for adiabatic logic applications. IEEE Trans Circuits Syst I-Regul Pap, 2015, 62: 1546–1554

    Article  MathSciNet  Google Scholar 

  46. Milaninia K M, Baldo M A, Reina A, et al. All graphene electromechanical switch fabricated by chemical vapor deposition. Appl Phys Lett, 2009, 95: 183105

    Article  Google Scholar 

  47. Sun J, Wang W Z, Muruganathan M, et al. Low pull-in voltage graphene electromechanical switch fabricated with a polymer sacrificial spacer. Appl Phys Lett, 2014, 105: 033103

    Article  Google Scholar 

  48. Batude P, Vinet M, Previtali B, et al. Advances, challenges and opportunities in 3D CMOS sequential integration. In: Proceedings of 2011 IEEE International Electron Devices Meeting (IEDM), Washington DC, 2011. 7.3.1–7.3.4

    Google Scholar 

  49. Turkyilmaz O, Cibrario G, Rozeau O, et al. 3d FPGA using highdensity interconnect monolithic integration. In: Proceedings of 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 2014. 1–4

    Chapter  Google Scholar 

  50. Abe K, Tendulkar M P, Jameson J R, et al. Ultra-high Bandwidth Memory with 3D-stacked Emerging Memory Cells. In: Proceedings of 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial, Austin, 2008. 203–206

    Google Scholar 

  51. Batude P, Fenouillet-Beranger C, Pasini L, et al. 3DVLSI with CoolCube process: an alternative path to scaling. In: Proceedings of 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, 2015. T48–T49

    Chapter  Google Scholar 

  52. Poupon G, Lamy Y, Rouzaud A. New era for packaging and coming challenges for interposers. In: Pan Pacific Symposium Conference Proceedings, Hawaii, 2014

    Google Scholar 

  53. El Bouayadi O, Lamy Y, Dussopt L. A high-impedance surface antenna on silicon interposer for 3D integrated mmW transceivers. In: Proceedings of 44th European Microwave Conference (EuMC), Rome, 2014. 112–115

    Google Scholar 

  54. Phan V P, Pecquenard B, Le Cras F. High-performance all-solid-state cells fabricated with silicon electrodes. Adv Funct Mater, 2012, 22: 2580–2584

    Article  Google Scholar 

  55. Di Cioccio L, Baudin F, Gergaud P, et al. Modeling and integration phenomena of metal-metal direct bonding technology. ECS Trans, 2014, 64: 339–355

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Simon Deleonibus.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Deleonibus, S. Looking into the future of Nanoelectronics in the Diversification Efficient Era. Sci. China Inf. Sci. 59, 061401 (2016). https://doi.org/10.1007/s11432-016-5567-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-016-5567-z

Keywords

Navigation