Skip to main content
Log in

SRASA: a Generalized Theoretical Framework for Security and Reliability Analysis in Computing Systems

  • Published:
Journal of Hardware and Systems Security Aims and scope Submit manuscript

Abstract

Although there is a pressing need for highly secure and reliable computing systems, there is a glaring lack of formalism under which the properties of reliability and security can be jointly designed into these systems. This gap can primarily be attributed to the evolution of the two subfields. In the work, we introduce a unified generalized theoretical framework, called security and reliability aware state automaton (SRASA), to formally describe the specifications of a computer system that cover both security and reliability. SRASA is a 22-tuple finite state machine model that encompasses both physical and abstract states of the system, which may suffer from passive and active attacks. Three case studies illustrate the interpretation and application of the proposed SRASA theoretical framework. Our analysis and experimental results show that a non-physical attack may exploit unspecified or untested states to implement the malicious purpose, rather than introducing a new state to the system. To the best of our knowledge, this is the first attempt to bridge the current design specification gap between secure and reliable computing systems using a unified automaton approach. A general yet complete methodology that will encompass all aspects of system design, from the functional level specification to the gate level design at any system granularity, may not be feasible or it may be beyond the scope of a single work. Therefore, we aim in this work to (1) give an overview of the current landscape of reliability and security in systems design, (2) introduce a generalized framework to specify and reason about both reliability and security in the system design process, and finally (3) be general enough in the framework specification that it can be adopted or customized to more specific or concrete design instances.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16

Similar content being viewed by others

References

  1. [n. d.]. ([n. d.]). http://www.columbia.edu/cu/computinghistory/ascc.html

  2. [n. d.]. ARM Security Technology – Building a Secure System using TrustZone Technology (2009) http://infocenter.arm.com/help/topic/com.arm.doc.prd29-genc-009492c/PRD29-GENC-009492C_trustzone_security_whitepaper.pdf. ([n. d.])

  3. Bar-El H, Choukri H, Naccache D, Tunstall M, Whelan C (2006) The sorcerer’s apprentice guide to fault attacks. Proc IEEE 94 2:370–382. https://doi.org/10.1109/JPROC.2005.862424

    Article  Google Scholar 

  4. Beaulieu R, Shors D, Smith J, Treatman-Clark S, Weeks B, Wingers L (2015) The SIMON and SPECK lightweight block ciphers. In: Proceedings of the 52nd annual design automation conference (DAC ’15). ACM, New York, Article 175, p 6. https://doi.org/10.1145/2744769.2747946

  5. Bhattacharya S, Mukhopadhyay D (2016) Curious case of rowhammer: flipping secret exponent bits using timing analysis. Springer Berlin Heidelberg, Berlin, pp 602–624. https://doi.org/10.1007/978-3-662-53140-2_29

    Google Scholar 

  6. Bjorklund H, Martens W ([n. d.]). The Tractability Frontier for NFA Minimization âÉȨ([n. d.])

  7. Boraten T, Kodi AK (2016) Mitigation of denial of service attack with hardware Trojans in NoC architectures. In: 2016 IEEE international parallel and distributed processing symposium (IPDPS), pp 1091–1100. https://doi.org/10.1109/IPDPS.2016.59

  8. Boyko KC, Gerlach DL (1989) Time dependent dielectric breakdown at 210 Aring; oxides. In: 27th annual proceedings., International reliability physics symposium, pp 1–8. https://doi.org/10.1109/RELPHY.1989.36309

  9. Bu L, Karpovsky M (2016) A hybrid self-diagnosis mechanism with defective nodes locating and attack detection for parallel computing systems. In: Proceedings of IEEE on-line testing symposium (IOLTS)

  10. Cherkaoui A, Fischer V, Aubert A, Fesquet L (2013) A self-timed ring based true random number generator. In: 2013 IEEE 19th international symposium on asynchronous circuits and systems, pp 99–106. https://doi.org/10.1109/ASYNC.2013.15

  11. Conti M, Dragoni N, Lesyk V (2016) A survey of man in the middle attacks. IEEE Commun Surv Tutorials 18(3):2027–2051. https://doi.org/10.1109/COMST.2016.2548426

    Article  Google Scholar 

  12. Costan V, Devadas S (2016) Intel SGX explained. cryptology ePrint Archive Report 2016/086. http://eprint.iacr.org/2016/086

  13. Di Natale DMRG, Doulcier M, Flottes ML, Rouzeyre B (2009) A reliable architecture for parallel implementations of the advanced encryption standard. J Electron Test 25(4):269–278. https://doi.org/10.1007/s10836-009-5106-6

    Article  Google Scholar 

  14. Dofe J, Frey J, Pahlevanzadeh H, Yu Q (2015) Strengthening SIMON implementation against intelligent fault attacks. IEEE Embed Syst Lett 7(4):113–116. https://doi.org/10.1109/LES.2015.2477273

    Article  Google Scholar 

  15. Fournaris AP, Fraile LP, Odysseas K (2017) Exploiting hardware vulnerabilities to attack embedded system devices: a survey of potent microarchitectural attacks. Electronics 6(3):2079–9292. https://doi.org/10.3390/electronics6030052

    Article  Google Scholar 

  16. Gassend B, Clarke D, van Dijk M, Devadas S (2002) Silicon physical random functions. In: Proceedings of the 9th ACM conference on computer and communications security (CCS ’02). ACM, New York, pp 148–160. https://doi.org/10.1145/586110.586132

  17. Gassend B, Lim D, Clarke D, van Dijk M, Devadas S (2004) Identification and authentication of integrated circuits: research articles. Concurr Comput Pract Exper 16(11):1077–1098. https://doi.org/10.1002/cpe.v16:11

    Article  Google Scholar 

  18. Grasser T, Kaczer B, Goes W, Reisinger H, Aichinger T, Hehenberger P, Wagner PJ, Schanovsky F, Franco J, Roussel P, Nelhiebel M (2010) Recent advances in understanding the bias temperature instability. In: 2010 international electron devices meeting, pp 4.4.1–4.4.4. https://doi.org/10.1109/IEDM.2010.5703295

  19. Gruss D, Maurice C, Mangard S (2015) Rowhammer.js: a remote software-induced fault attack in JavaScript. CoRR arXiv:1507.06955

  20. Guo X, Karri R (2013) Recomputing with permuted operands a concurrent error detection approach. IEEE Trans Comput-Aided Des Integr Circ Syst, 32. https://doi.org/10.1109/TCAD.2013.2263037

  21. Herder C, Yu MD, Koushanfar F, Devadas S (2014) Physical Unclonable functions and applications: a tutorial. Proc IEEE 102:1126–1141. https://doi.org/10.1109/JPROC.2014.2320516

    Article  Google Scholar 

  22. Holzer M, Kutrib M (2011) Descriptional and computational complexity of finite automata: ÂĂÂT̆A survey. Inf Comput 209(3):456–470. https://doi.org/10.1016/j.ic.2010.11.013 Special Issue: 3rd International Conference on Language and Automata Theory and Applications (LATA 2009)

    Article  MathSciNet  MATH  Google Scholar 

  23. Huang P-T, Fang W-L, Wang Y-L, Hwang W (2008) Low power and reliable interconnection with self-corrected green coding scheme for network-on-chip. In: Second ACM/IEEE international symposium on Networks-on-Chip

  24. Jiteurtragool N, Wannaboon C, Masayoshi T (2015) True random number generator based on compact chaotic oscillator. In: 2015 15th international symposium on communications and information technologies (ISCIT), pp 315–318. https://doi.org/10.1109/ISCIT.2015.7458370

  25. Johnson Jonathan, Howes W, Wirthlin M, McMurtrey DL, Caffrey M, Graham P, Keith M (2008) Using duplication with compare for on-line error detection in FPGA-based designs. Aerospace Conference

  26. Karri R, Wu K, Mishra P, Kim Y (2002) Concurrent error detection schemes for fault-based side-channel cryptanalysis of symmetric block ciphers. IEEE Trans Comput-Aided Des Integr Circ Syst 21:1509–1517. https://doi.org/10.1109/TCAD.2002.804378

    Article  Google Scholar 

  27. Kim Y, Daly R, Kim J, Fallin C, Lee JH, Lee D, Wilkerson C, Lai K, Mutlu O (2014) Flipping bits in memory without accessing them: an experimental study of DRAM disturbance errors. In: 2014 ACM/IEEE 41st international symposium on computer architecture (ISCA), pp 361–372. https://doi.org/10.1109/ISCA.2014.6853210

  28. Kocher P (1999) The Intel Âő random number generator cryptography research, Inc. White Paper Prepared for Intel Corporation

  29. Lin S, Kim Y-B, Lombardi F (2011) A 11-transistor nanoscale CMOS memory cell for hardening to soft errors. In: IEEE transactions on very large scale integration (VLSI) systems

  30. Nordrum A (2016) Popular Internet of Things Forecast of 50 Billion Devices by 2020 Is Outdated. Available at, http://spectrum.ieee.org/tech-talk/telecom/internet/popular-internet-of-things-forecast-of-50-billion-devices-by-2020-is-outdated

  31. Piret G, Quisquater J-J (2003) A Differential fault attack technique against SPN structures, with application to the AES and KHAZAD. In: International workshop on cryptographic hardware and embedded systems. Springer, Berlin

    Book  MATH  Google Scholar 

  32. Qiao R, Seaborn M (2016) A new approach for rowhammer attacks. In: 2016 IEEE international symposium on hardware oriented security and trust (HOST), pp 161–166. https://doi.org/10.1109/HST.2016.7495576

  33. Ravi S, Raghunathan A, Chakradhar S (2004) Tamper resistance mechanisms for secure embedded systems. In: Proceedings of the 17th international conference on VLSI design, pp 605–611. https://doi.org/10.1109/ICVD.2004.1260985

  34. Razavi K, Gras B, Bosman E, Preneel B, Giuffrida C, Bos H, Shui FF (2016) Hammering a needle in the software stack. In: 25th USENIX security symposium (USENIX Security 16). USENIX Association, Austin, pp 1–18

  35. Rührmair U, Xu X, Sölter J, Mahmoud A, Majzoobi M, Koushanfar F, Burleson W (2014) Efficient power and timing side channels for physical unclonable functions. https://doi.org/10.1007/978-3-662-44709-3_26. Springer, Berlin, pp 476–492

  36. Seaborn M, Dullien T (2016) Exploiting the DRAM rowhammer bug to gain kernel privileges. https://www.blackhat.com/docs/us-15/materials/us-15-Seaborn-Exploiting-The-DRAM-Rowhammer-Bug-To-Gain-Kernel-Privileges.pdf

  37. Takeda E, Suzuki N (1983) An empirical model for device degradation due to hot-carrier injection. IEEE Electron Device Lett 4:111–113. https://doi.org/10.1109/EDL.1983.25667

    Article  Google Scholar 

  38. Tewari A, Srivastava U, Gupta P (2002) A parallel DFA minimization algorithm. In: Sahni S, Prasanna VK, Shukla U (eds) High performance computing — HiPC 2002. Springer, Berlin, pp 34–40

  39. Tu KN (2003) Recent Advances on electromigration in very-large-scale-integration of interconnects. J Appl Phys 94(9):5451–73

    Article  Google Scholar 

  40. Valmari A, Lehtinen P (2008) Efficient minimization of DFAs with partial transition functions. CoRR arXiv:0802.2826.2008

  41. Wang Z, Karpovsky M (2010) Robust FSMs for cryptographic devices resilient to strong fault injection attacks. In: Proceedings IEEE on-line testing symposium (IOLTS)

  42. Woodruff J, Watson RNM, Chisnall D, Moore SW, Anderson J, Davis B, Laurie B, Neumann PG, Norton R, Roe M (2014) The CHERI capability model: revisiting RISC in an age of risk. In: Proceeding of the 41st annual international symposium on computer architecture (ISCA ’14). IEEE Press, Piscataway, pp 457–468

  43. Xiao Y, Zhang X, Zhang Y, Teodorescu R (2016) One bit flips, one cloud flops: cross-VM row hammer attacks and privilege escalation. In: 25th USENIX security symposium (USENIX Security 16). Austin, pp 19–35

  44. Yu Q, Frey J (2013) Exploiting error control approaches for hardware Trojans on Network-on-Chip links. In: 2013 IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFTS), pp 266–271. https://doi.org/10.1109/DFT.2013.6653617

  45. Yu Q, Zhang Z, Dofe J (2018) Investigating reliability and security of integrated circuits and systems. In: IEEE computer society annual symposium on VLSI (ISVLSI)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Lake Bu.

Additional information

This research is partially supported by the NSF CAREER grant (No. CNS- 1652474) and NSF grant (No. CNS-1745808).

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Bu, L., Dofe, J., Yu, Q. et al. SRASA: a Generalized Theoretical Framework for Security and Reliability Analysis in Computing Systems. J Hardw Syst Secur 3, 200–218 (2019). https://doi.org/10.1007/s41635-018-0047-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s41635-018-0047-0

Keywords

Navigation