Skip to main content
Log in

Probability gate model based methods for approximate arithmetic circuits reliability estimation

  • Regular Paper
  • Published:
CCF Transactions on High Performance Computing Aims and scope Submit manuscript

Abstract

With the rapid development of approximate computing technology, the reliability evaluation of approximate circuits has attracted significant interest. So far, few methods can be applied to estimate the reliability of approximate circuits, the existing methods are based on probability transfer module (PTM) and Monte Carlo (MC) method. However, the PTM-based methods are confined to small-scale approximate circuits and large circuits with weak signal correlation, and the MC method is time-consuming to obtain accurate results. This paper proposes an algorithm for determining the acceptable outputs of approximate dividers based on the design principle of the approximate divider. Then based on the probability gate model (PGM), this paper presents three methods for reliability estimation of gate-level approximate arithmetic circuits. The non-processing correlation algorithm does not consider the correlation among signals to obtain an approximate value of circuit reliability, and its time complexity keeps a linear relation with the number of gates. The processing correlation algorithm can estimate the correlation caused by fanout nodes of the approximate arithmetic circuits, and it has the obvious advantage on accuracy. However, its time complexity is exponential with the number of fanout nodes in the circuit. The fusion algorithm considers the effect of each fanout node on the reliability of the circuit separately and then uses a linear model to obtain the circuit reliability. Although some accuracy is lost, the time complexity is linear. The experimental results on benchmark circuits show that the proposed methods are effective, and have certain advantages in accuracy and efficiency as compared with the existing methods.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18

Similar content being viewed by others

References

  • Almurib, H.A.F., Kumar, T.N., Lombardi, F.: Inexact designs for approximate low power addition by cell replacement. In: Design, Automation and Test in Europe Conference and Exhibition. IEEE, pp. 660–665 (2016)

  • Borkar, S.: Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6), 10–16 (2005)

    Article  Google Scholar 

  • Breuer, M.A.: Intelligible test techniques to support error-tolerance. In: 13th IEEE Asian Test Symposium. IEEE, pp. 386–393 (2004)

  • Breuer, M.A.: Multi-media applications and imprecise computation. In: 8th Euromicro Conference on Digital System Design. IEEE, pp. 2–7 (2005)

  • Chen, C., Xiao, R.: A fast model for analysis and improvement of gate-level circuit reliability. Integration 50, 107–115 (2015)

    Article  Google Scholar 

  • Chen, Y.K., Chhugani, J., Dubey, P., Hughes, C.J., Kim, D., Kumar, S., Lee, V.W., Nguyen, A.D., Smelyanskiy, M.: Convergence of recognition, mining, and synthesis workloads and its implications. Proc. IEEE 96(5), 790–807 (2008)

    Article  Google Scholar 

  • Chen, L., Han, J., Liu, W., Lombardi, F.: Design of approximate unsigned integer non-restoring divider for inexact computing. In: 25th ACM Great Lakes Symposium on VLSI. ACM, pp, 51–56 (2015)

  • Chen, L., Han, J., Liu, W., Lombardi, F.: On the design of approximate restoring dividers for error-tolerant applications. IEEE Trans. Comput. 65(8), 2522–2533 (2016)

    Article  MathSciNet  Google Scholar 

  • Chippa, V.K., Chakradhar, S.T., Roy, K., Raghunathan, A.: Analysis and characterization of inherent application resilience for approximate computing. In: 50th ACM/EDAC/IEEE Design Automation Conference. IEEE, pp. 1–9 (2013)

  • Chong, I.S., Ortega, A.: Hardware testing for error tolerant multimedia compression based on linear transforms. In: 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems. IEEE, pp. 523–531 (2005)

  • Dutt, S., Dash, S., Nandi, S., Trivedi, G.: Analysis, modeling and optimization of equal segment based approximate adders. Trans. Comput. 68(3), 314–330 (2019)

    Article  MathSciNet  Google Scholar 

  • Gupta, V., Mohapatra, D., Park, S.P., Raghunathan, A., Roy, K.: IMPACT: IMPrecise adders for low-power approximate computing. In: ACM/IEEE International Symposium on Low Power Electronics and Design. IEEE, pp. 409–414 (2011)

  • Han, J., Chen, H., Boykin, E., Fortes, J.: Reliability evaluation of logic circuits using probabilistic gate models. Microelectron. Reliab. 51(2), 468–476 (2011)

    Article  Google Scholar 

  • Han, J., Chen, H., Liang, J., Zhu, P., Yang, Z., Lombardi, F.: A stochastic computational approach for accurate and efficient reliability evaluation. IEEE Trans. Comput. 63(6), 1336–1350 (2014)

    Article  MathSciNet  Google Scholar 

  • He, X., Yan, G., Sun, F., Han, Y., Li, X.: ApproxEye: Enabling approximate computation reuse for microrobotic computer vision. In: 22nd Asia and South Pacific Design Automation Conference, IEEE, pp. 402–407 (2017)

  • Jiang, H., Han, J., Lombardi, F.: A comparative review and evaluation of approximate adders. In: 25th ACM reat Lakes Symposium on VLSI. ACM, pp. 343–348 (2015).

  • Jiang, J., Lu, G., Wang, Z.: Methods for approximate adders reliability estimation based on PTM model. In: IEEE 23rd Pacific Rim International Symposium on Dependable Computing. IEEE, pp. 221–222 (2018)

  • Jiang, H., Liu, L., Lombardi, F., Han, J.: Approximate arithmetic circuits: design and evaluation. In: Reda, S., Shafique, M. (eds.) Approximate circuits. Springer, Cham (2019)

    Google Scholar 

  • Krishnaswamy, S., Viamontes, G.F., Markov, I.L., Hayes, J.P.: Accurate reliability evaluation and enhancement via probabilistic transfer matrices. In: Design, Automation and Test in Europe Conference and Exhibition. IEEE, pp. 282–287 (2005)

  • Kulkarni, P., Gupta, P., Ercegovac, M.: Trading accuracy for power with an underdesigned multiplier architecture. In: IEEE 24th International Conference on VLSI Design. IEEE, pp. 346–351 (2011)

  • Kyaw, K.Y., Goh, W.L., Yeo, K.S.: Low-power high-speed multiplier for error-tolerant application. In: IEEE International Conference on Electron Devices and Solid-State Circuits. IEEE, pp. 1–4 (2010)

  • Leon, V., Zervakis, G., Soudris, D., Pekmestzi, K.: Approximate hybrid high radix encoding for energy-efficient inexact multipliers. IEEE Trans Very Large Scale Integr (VLSI) Syst 26(3), 421–430 (2018)

    Article  Google Scholar 

  • Liang, J., Han, J., Lombardi, F.: New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Comput. 62(9), 1760–1771 (2013)

    Article  MathSciNet  Google Scholar 

  • Lin, C.H., Lin, C.: High accuracy approximate multiplier with error correction. In: IEEE International Conference on Computer Design. IEEE, pp. 33–38 (2013)

  • Liu, C., Han, J., Lombardi, F.: An analytical framework for evaluating the error characteristics of approximate adders. IEEE Trans. Comput. 64(5), 1268–1281 (2015)

    Article  MathSciNet  Google Scholar 

  • Lu, G.: Research on approximate circuit reliability evaluation methods based on probabilistic transfer matrix. Master Thesis, Tongji University (2019). (in Chinese)

  • Mazahir, S., Hasan, O., Hafiz, R., Shafique, M., Henkel, J.: Probabilistic error modeling for approximate adders. IEEE Trans. Comput. 66(3), 515–530 (2017)

    Article  MathSciNet  Google Scholar 

  • Mohapatra, D., Chippa, V.K., Raghunathan, A., Roy, K.: Design of voltage-scalable meta-functions for approximate computing. In: Design, Automation and Test in Europe Conference and Exhibition. IEEE, pp. 1–6 (2011)

  • Mohyuddin, N., Pakbaznia, E., Pedram, M.: Probabilistic error propagation in logic circuits using the Boolean difference calculus. In: IEEE International Conference on Computer Design. IEEE, pp. 7–13 (2008)

  • Mrazek, V., Hrbacek, R., Vasicek, Z., Sekanina, L.: "EvoApprox8b: Library of approximate adders and multipliers for circuit design and benchmarking of approximate methods. In: Design, Automation and Test in Europe Conference and Exhibition. IEEE, pp. 258–261 (2017)

  • Polian, I.: Test and reliability challenges for approximate circuitry. IEEE Embed. Syst. Lett. 10(1), 26–29 (2018)

    Article  Google Scholar 

  • Sheng, Z., Xie, S., Pan, C.: Probability and Statistics, pp. 163–167. Higher Education Press, Beijing (2008). (in Chinese)

    Google Scholar 

  • Venkatesan, R., Agarwal, A., Roy, K., Raghunathan, A.: MACACO: Modeling and analysis of circuits for approximate computing. In: IEEE/ACM International Conference on Computer-Aided Design. IEEE, pp. 667–673 (2011)

  • Verma, A. K., Brisk, P., Ienne, P.: Variable latency speculative addition: a new paradigm for arithmetic circuit design. In: Design, Automation and Test in Europe Conference & Exhibition. IEEE, pp. 1250–1255 (2008)

  • Wang, Z., Jiang, J., Wang, T.: Failure probability analysis and critical node determination for approximate circuits. Integr. VLSI J. 68(5), 122–128 (2019a)

    Article  Google Scholar 

  • Wang, T., Jiang, J., Wang, Z.: Reliability estimation of approximate circuits based on probabilistic gate model. In: IEEE 24th Pacific Rim International Symposium on Dependable Computing. IEEE, pp. 55–56 (2019b)

  • Xiao, J., Lee, W., Jiang, J., Yang, X.: Circuit reliability estimation based on an iterative PTM model with hybrid coding. Microelectron. J. 52, 117–123 (2016)

    Article  Google Scholar 

  • Xu, Q., Mytkowicz, T., Kim, N.S.: Approximate computing: a survey. IEEE Des. Test Comput. 33(1), 8–22 (2016)

    Article  Google Scholar 

  • Yang, Z., Jain, A., Liang, J., Han, J., Lombardi, F.: Approximate XOR/XNOR-based adders for inexact computing. In: IEEE 13th International Conference on Nanotechnology. IEEE, pp. 690–693 (2013)

  • Ye, R., Wang, T., Yuan, F., Kumar, R., Xu, Q.: On reconfiguration-oriented approximate adder design and its application. In: IEEE/ACM International Conference on Computer-aided Design. IEEE, pp. 48–54 (2013)

  • Zhu, N., Goh, W.L., Yeo, K.S.: An enhanced low-power high-speed adder for error-tolerant application. In: 12th International Symposium on Integrated Circuits. IEEE, pp. 69–72 (2009)

Download references

Acknowledgements

Science Foundation of China under Grant nos. 61432017, 61772199 and 61974105.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhen Wang.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jiang, J., Wang, T. & Wang, Z. Probability gate model based methods for approximate arithmetic circuits reliability estimation. CCF Trans. HPC 3, 201–219 (2021). https://doi.org/10.1007/s42514-020-00058-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s42514-020-00058-1

Keywords

Navigation