GALS for Bursty Data Transfer based on Clock Coupling

https://doi.org/10.1016/j.entcs.2009.07.031Get rights and content
Under a Creative Commons license
open access

Abstract

In this paper we introduce a novel burst-mode GALS technique. The goal of this technique is improving the performance of the GALS approach for systems with predominantly bursty data transfer. This new technique has been used to implement a GALS-based version of a hardware accelerator of a 60 GHz OFDM baseband processor. The simulation results show a significant performance improvement in comparison with a classical implementation of GALS using pausible clocking.

Keywords

GALS
bursty data transfer
pausible clocking

Cited by (0)

This work has been supported by the European Project GALAXY under grant reference number FP7-ICT-214364 (www.galaxy-project.org)