A new test scheduling algorithm based on Networks-on-Chip as Test Access Mechanisms

https://doi.org/10.1016/j.jpdc.2010.09.008Get rights and content

Abstract

Networks-on-Chip (NoCs) can be used for test data transportation during manufacturing tests. On one hand, NoC can avoid dedicated Test Access Mechanisms (TAMs), reducing long global wires, and potentially simplifying the layout. On the other hand, (a) it is not known how much wiring is saved by reusing NoCs as TAMs, (b) the impact of reuse-based approaches on test time is not clear, and (c) a computer aided test tool must be able to support different types of NoC designs. This paper presents a test environment where the designer can quickly evaluate wiring and test time for different test architectures. Moreover, this paper presents a new test scheduling algorithm for NoC TAMs which does not require any NoC timing detail and it can easily model NoCs of different topologies. The experimental results evaluate the proposed algorithm for NoC TAMs with an exiting algorithm for dedicated TAMs. The results demonstrate that, on average, 24% (up to 58%) of the total global wires can be eliminated if dedicated TAMs are not used. Considering the reduced amount of dedicated test resources with NoC TAM, the test time of NoC TAM is only, on average, 3.88% longer compared to dedicated TAMs.

Introduction

With the scaling of microchip technology, computation is becoming cheaper than communication. The main reason is that global wires do not scale as transistors and local wires [13] because they communicate across the chip. Global wires can be found in the chip-level communication infra-structures like buses and Networks-on-Chip (NoCs). NoCs [4] may replace global buses in the near future due to scalability, parallel communication features, and shorter global wires.

Modular testing has been proposed as a solution to test such complex SoCs [12]. The conceptual model for modular testing consists of test wrappers (used to switch between functional and test modes), test sources and sinks (used, respectively, to generate test stimuli and to compare the actual test responses to the expected responses), and Test Access Mechanisms (TAMs) (used to transport test data from/to the test pins to/from the Core-Under-Test (CUT)). The most common practice for TAM design is to include dedicated and global test buses used only for test data transportation. Since these TAMs consist of long global wires, dedicated test buses are also subject to the same interconnect problems such as signal integrity, delay, and power dissipation. In an attempt to avoid the long global wires related to the TAMs, Cota et al. [9] proposed the use of the NoC to transport test data, avoiding long global wires both in functional and in test modes.

The main motivation to use a NoC as TAM is to avoid the extra long global wires required to implement the dedicated TAMs. It has several potential benefits like reducing area (wiring area and buffers), layout congestion, and power dissipation over long wires with buffers. Additional motivation includes the large internal bandwidth of NoCs that can be used to optimize the test time and the support for globally asynchronous and locally synchronous systems. Testing systems with multiple clocks is a noticeable design challenge. The use of this type of NoC as TAM, which is an open research topic, could simplify the test data transportation for systems with multiples clocks or asynchronous systems.

The original contributions of this paper consist of an adaptable test scheduling algorithm for NoC TAM, a test environment used to quickly evaluate different test architectures, and a method to estimate the wire length required by dedicated TAMs. This paper proposes a new test scheduling algorithm for test architecture based on NoC TAM that requires only topology and channel bandwidth information of the NoC. This feature eases the modeling of other NoCs, for example, with different topologies, where most NoC reuse approaches existing so far are specific for mesh-based NoCs. The test environment has been built to ease the generation and analysis of results. It consists of a set of NoC-based SoCs used as benchmarks, scripts to automate the execution and analysis of hundreds of cases, the proposed test scheduling for NoC TAM, a conventional test scheduling approach used for comparison, and the proposed wire length estimation method. As far as we know, this environment is the first to support both NoC-based and dedicated TAMs test architectures and is the first to numerically evaluate the wire length of dedicated TAMs. This type of environment is important because, as demonstrated in the results, both test architectures have advantages and drawbacks, thus, the designer needs an environment like this to quickly evaluate and select the most appropriate solution.

This paper is organized as follows. Section 2 summarizes the basic concepts of SoC testing for dedicated TAMs and presents an example of a test scheduling algorithm. The proposed test architecture for NoC TAM is introduced in Section 3. Section 4 presents previous papers about test scheduling for NoC TAM and compares them with the proposed approach. Section 5 presents the proposed wire length estimation method, motivating the use of the existing NoC as TAM. Section 6 presents the proposed test scheduling for NoC TAMs. Section 7 presents four sets of experiments: wire length, test time when the NoC is faster than tester, test time when the NoC is as fast as the tester, and test time for several NoC topologies. Section 8 presents the conclusion of the paper.

Section snippets

Test architecture and test scheduling for SoCs

Given the previously presented components of a SoC test architecture (test wrapper, test source/sink, and TAM), the test scheduling and test architecture optimization can be defined as: given a set of modules and a given number of test pins, a test designer has to determine (1) the test architecture type, (2) the number of TAMs, (3) the widths of these TAMs, (4) the assignment of modules to TAMs, and (5) the wrapper design for each module, such that (a) test costs (test length, silicon

Proposed test methodology

This section presents the proposed test approach for NoC TAMs.

Prior work

This section focuses on previous test scheduling algorithms for NoC TAMs, specially in the aspects related to adaptability and the underlying problem formulation.

Wire length estimation model for dedicated TAMs

The proposed model assumes that the SoC is represented by tiles2 which are evenly distributed in the entire SoC area such that the distance between any two neighbor tiles is the same. Each tile can have zero or more cores and exactly one network interface. The rest of the system (clock and reset tree, test wires, and NoC) are distributed among the tiles. This description is coherent to homogeneous NoC-based systems, where

Proposed test scheduling for NoC TAMs

Algorithm 1 presents the main procedures for the proposed test scheduling, which are detailed in the next sections.

Experimental results

Section 7.1 introduces the test environment used to generate the presented results. Section 7.2 presents the setup common to the four experiments presented in the following sections. The first experiment, presented in Section 7.3, evaluates the wire length required to implement dedicated TAMs, justifying the advantage of using NoC as a TAM. Section 7.4 compares the test length generated by both test schedulers (dedicated and NoC). Section 7.5 evaluates the proposed test scheduling when the

Conclusion

This paper presented a new test environment used to evaluate both dedicated and NoC TAMs with several SoCs, including a large SoC with 117 cores. Metrics like the SoC’s test length and the TAM’s wire length were evaluated. To the best of our knowledge, this is the first paper to estimate the amount of wiring saved by using a NoC as a TAM. For instance, we concluded from the experimental results that the TAMs increase the total number of global wires of the chip in 25%; in some cases 58% is

Acknowledgments

Part of this work was accomplished while Alexandre was holding a doctoral scholarship from the CNPq-PNM (grant number 141993/2002-2). Currently, Alexandre is supported by postdoctoral scholarships from Capes-PNPD and FAPERGS-ARD, grants number 02388/09-0 and 10/0701-2, respectively. Cristiano is partially supported by FCT (INESC-ID multi-annual funding) through the PIDDAC Program funds. Marcelo is partially supported by CNPq (Projeto Universal), grant number 478200/2008-0. Fernando is partially

Alexandre M. Amory is currently a Postdoctoral fellow at PUCRS University, Porto Alegre, Brazil. He received bachelor and master degrees in computer science from the PUCRS University, in 2001 and 2003, respectively. In 2007 he received the Ph.D. in computer science from UFRGS University, Porto Alegre, Brazil. His thesis received an honorable mention in the CAPES Thesis Award, in 2008. He did an internship at Philips Research Laboratories, The Netherlands, in 2005. He worked, from 2007 to 2009,

References (26)

  • A.M. Amory et al.

    Wrapper design for the reuse of a network on chip or other functional interconnect as test access mechanism

    IET Computers & Digital Techniques

    (2007)
  • A.M. Amory, M.S. Lubaszewski, F.G. Moraes, DfT for the reuse of networks-on-chip as test access mechanism, in: Proc....
  • D. Bertozzi et al.

    Xpipes: a network-on-chip architecture for gigascale systems-on-chip

    IEEE Circuits and Systems Magazine

    (2004)
  • T. Bjerregaard et al.

    A survey of research and practices of network-on-chip

    ACM Computing Surveys

    (2006)
  • E.A. Carara, R.P. Oliveira, N.L.V. Calazans, F.G. Moraes, HeMPS — a framework for NoC-based MPSoC generation, in: Proc....
  • E. Cota, L. Carro, F. Wagner, M.S. Lubaszewski, BISTed cores and test time minimization in NOC-based systems, in: Proc....
  • E. Cota, L. Carro, F. Wagner, M.S. Lubaszewski, Power-aware NoC reuse on the testing of core-based systems, in: Proc....
  • E. Cota et al.

    Constraint-driven test scheduling for NoC-based system

    IEEE Transactions on CAD of Integrated Circuits and Systems

    (2006)
  • E. Cota, C.A. Zeferino, M. Kreutz, L. Carro, M.S. Lubaszewski, A.A. Susin, The impact of NoC reuse on the testing of...
  • J. Dalmasso, E. Cota, M.-L. Flottes, B. Rouzeyre, Improving the test of NoC-based SoCs with help of compression...
  • J. Duato et al.

    Interconnection Networks, An Engineering Approach

    (2003)
  • S.K. Goel et al.

    SOC test architecture design for efficient utilization of test bandwidth

    ACM TODAES

    (2003)
  • M. Horowitz et al.

    The future of wires

    Proceedings of the IEEE

    (2001)
  • Cited by (13)

    View all citing articles on Scopus

    Alexandre M. Amory is currently a Postdoctoral fellow at PUCRS University, Porto Alegre, Brazil. He received bachelor and master degrees in computer science from the PUCRS University, in 2001 and 2003, respectively. In 2007 he received the Ph.D. in computer science from UFRGS University, Porto Alegre, Brazil. His thesis received an honorable mention in the CAPES Thesis Award, in 2008. He did an internship at Philips Research Laboratories, The Netherlands, in 2005. He worked, from 2007 to 2009, at CEITEC as a lead ASIC verification engineer. He has two journal papers, 21 papers in international conferences such as ITC, ETS, VTS. His research interests include design, test, fault-tolerance, and verification of digital systems, especially MPSoCs and NoCs.

    Cristiano Lazzari received the master degree in Computer Science from UFRGS University, Brazil, in 2003. He received the Ph.D. degree in Microelectronics from the UFRGS University and the Institut National Polytechnique de Grenoble (INPG), France, in 2007. Currently, Cristiano Lazzari is a researcher in the ALGOS group at INESC-ID, in Lisbon, Portugal. His research interests include developing techniques for design & test of NoCs, and developing algorithms for logic synthesis and technology mapping of multi-valued circuits.

    Marcelo S. Lubaszewski received the Electrical Engineering and M.Sc. degrees from the Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, Brazil, in 1986 and 1990, respectively. In 1994, he received the Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), France. In 2001, he joined the Laboratoire d´Informatique, Robotique et Microélectronique de Montpellier in France as an Invited Researcher for 3 months and, in 2004, the Instituto de Microelectrónica de Sevilla (IMSE) in Spain for 1 year. He is currently with UFRGS, where he has been a Professor since 1990. His primary research interests include design and test of mixed-signal, micro-electro-mechanical, core-based and NoC-based systems, self-checking and fault-tolerant architectures, and computer-aided testing. He has published over 200 papers in international journals and conferences on these topics. Dr. Lubaszewski has served as a Guest Editor of the Journal of Electronic Testing: Theory and Applications, of the Microelectronics Journal, as an Associate Editor of the Design and Test of Computers Magazine and as a lecturer for Latin America in the frame of the IEEE Computer Society Distinguished Visitors Program. He is presently a member of the Editorial Board of the VLSI Journal and the Editor-in-Chief of JICS, the Journal of Integrated Circuits and Systems of the Brazilian Computer and Microelectronics Societies. In the past, he has also served the IEEE CS Test Technology Technical Council as the Chair of the Latin-America Regional.

    Fernando G. Moraes received the Electrical Engineering and M.Sc. degrees from the Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, Brazil, in 1987 and 1990, respectively. In 1994 he received the Ph.D. degree from the Laboratoire d´Informatique, Robotique et Microélectronique de Montpellier (LIRMM), France. He is currently at PUCRS, where he has been an Associate Professor from 1996 to 2002, and Professor since 2002. From 1998 to 2000 he joined the LIRMM as an Invited Professor for 3 months each year. He has authored and co-authored 14 peer refereed journal articles in the field of VLSI design, comprising the development of networks on chip and telecommunication circuits. One of these articles, HERMES: an Infrastructure for Low Area Overhead Packet-switching Networks on Chip, is cited by more than 200 other papers. He has also authored and co-authored more than 140 conference papers on these topics. His primary research interests include Microelectronics, FPGAs, reconfigurable architectures, NoCs (networks on chip) and SoC (system on chip design).

    View full text