Elsevier

Microelectronics Journal

Volume 44, Issue 9, September 2013, Pages 827-833
Microelectronics Journal

Bus encoder design for reduced crosstalk, power and area in coupled VLSI interconnects

https://doi.org/10.1016/j.mejo.2013.04.001Get rights and content

Abstract

This research work presents a novel circuit for simultaneous reduction of power, crosstalk and area using bus encoding technique in RC modeled VLSI interconnect. Bus-invert method is used to reduce inter-wire coupling, which is actually responsible for crosstalk, delay and power dissipation in coupled interconnects. The proposed method focuses on simplified and improved encoder circuit for 4, 8 and 16 coupled lines. In past, the researchers developed encoders that usually focused on minimizing power dissipation and/or crosstalk, thereby paying heavy penalty in terms of chip area. However, the proposed encoder and decoder while significantly reducing crosstalk demonstrates an overall reduction of power dissipation by 68.76% through drastically limiting switching activity. Furthermore, while reducing the complexity, chip area and transistor count of the circuit is reduced by more than 57%.

Introduction

In deep submicron VLSI design, feature size will continue to shrink while the clock frequency will keep on increasing rapidly. In case, the current trend of technology scaling continues, the devices and gates would be much smaller and faster [1], [2]. However, the interconnect delay is expected to increase, therefore, interconnect delay will dominate over gate delay [2]. Shrinking feature size implies not only shorter gate lengths but also decreasing interconnects pitch. Hence, minimizing the power consumption and crosstalk delay in interconnects is the most important design criteria in on-chip bus design [3], [4], [5]. These effects are dominated by coupling capacitance and load capacitance. Load capacitance is the capacitance between wire and the substrate. The capacitance between the two interconnects which are adjacent is called coupling capacitance. This capacitance causes crosstalk, leads to serious timing and signal integrity problems and results in circuit malfunctioning in the worst case. It affects delay and slew depending on the switching configurations of aggressor and victim lines.

There are different methods such as repeater insertion [6], shielding line (Vdd/GND) insertion between two adjacent wires [7], optimal spacing between signal lines and lastly the most effective bus encoding method [8], [9], [10] for reducing the crosstalk delay. A bus encoding method converts or encodes the data bit stream in such a way that the number of transitions of bit stream is minimized.

This paper focuses on reducing power dissipation, crosstalk and chip size of the encoder and decoder by using bus-invert method [9]. This method reduces power and crosstalk delay by decreasing switching and coupling activities. The proposed method eliminates the most undesirable crosstalk types in RC coupled interconnects i.e. Type-4, Type-3 and some of the Type-2 couplings. Results show that the power dissipation, crosstalk, delay are reduced and the size of the encoder implemented occupies lesser chip area as compared to Fan et al. [12].

The paper is organized in six sections including the current section pertaining to Section 1. Section 2 describes the classification of crosstalk, whereas, Section 3 comprises of power dissipation expression and their dependence on different parameters. The functioning of proposed encoding method is explained in Section 4. Sections 5 discusses the experimental results of proposed RC encoder. Finally, in Section 6 important outcome of the proposed encoder design is summarized.

Section snippets

Classification of crosstalk

The parasitic capacitance of a typical interconnect structure shown in Fig. 1 constitutes of three elements, ground capacitance (CG), fringe or side-wall to substrate capacitance (CF) and coupling capacitance or capacitance between the adjacent walls on the same layer (CC).

Coupling capacitance becomes dominant when two adjacent lines are switching in the opposite direction, which causes crosstalk resulting in delay penalty which is called crosstalk delay. There are two important effects due to

Expression for power dissipation

The power dissipation [12] in VLSI interconnects can be expressed asP=(αcl×CL+αcc×CC)Vdd2×f=(αcl+λ×αcc)CL×Vdd2×fwhere CL is the load capacitance, Vddis supply voltage,f is the clock frequency, λ is ratio of CC/CL, αcl is average switching factor. The un-coded αcl value is 1. As all other parameters are already optimized, the power dissipation that also depends on the switching activity should be reduced (i.e., proportional to the number of signal transitions). Symbols used throughout this paper

Implementation of proposed design

Inspired by Fan et al. [12] bus encoding method, a new and improved design is proposed which significantly reduces the crosstalk and power dissipation of the RC modeled interconnect.

As discussed in Section 3, reducing switching activity is one of the popular methods to decrease the power consumption in interconnects. The proposed method also strives to limit the number of transitions. In this proposed method, the data bus is partitioned into different clusters. Each cluster is of 5-bit width,

Results

The proposed design has been validated for 180, 130, 90, 70, 45 nm technology nodes using H-SPICE with pulse stimuli for 1 MHz, 100 MHz, 500 MHz and 1 GHz frequencies. The length, width, thickness and spacing of the signal wire are 1300, 0.99, 0.53 and 1.37 μm, respectively. The proposed encoder design demonstrates significant reduction in chip area, crosstalk, power dissipation and propagation delay in comparison to Fan et al. [12].

The design flow which is used to generate results passes through

Conclusion

This paper demonstrated reduction of crosstalk, power dissipation and total propagation delay by using bus-invert method. The proposed design utilizes lesser number of transistors that effectively reduces chip area. The reduction in design size is achieved by logical simplification, without compromising any key performance factor. The results shows a reduction in area, power dissipation and propagation delay by 37.24, 68.76 and 56.78%, respectively compared to recently published encoder design.

References (14)

  • C.P. Fan et al.

    Efficient RC low-power bus encoding methods for crosstalk reduction

    Integr. VLSI J.

    (2011)
  • J. Cong, L. He, K.Y. Khoo, C.K. Koh, D.Z. Pan, Interconnect design for deep submicron ICs, in Proceedings of the...
  • J.M. Rabaey et al.

    Digital Integrated Circuits

    (2003)
  • B. Victor, K. Keutzer, Bus encoding to prevent crosstalk delay, in: Proceedings of the International Conference on...
  • L. Benini, G.D. Micheli, E. Macii, D. Sciuto, C. Silviano, Asymptotic zero-transition activity encoding for address...
  • C.G. Lyuh et al.

    Low-power bus encoding with crosstalk delay elimination,

    IET Comput. Digital Tech.

    (2006)
  • R. Chandel et al.

    Repeater insertion in global interconnects in VLSI circuits

    Microelectron. Int.

    (2005)
There are more references available in the full text version of this article.

Cited by (7)

View all citing articles on Scopus
View full text