A low power-delay-product and robust Isolated-DICE based SEU-tolerant latch circuit design

https://doi.org/10.1016/j.mejo.2013.09.007Get rights and content

Abstract

Soft-error interference is a crucial design challenge in the advanced CMOS VLSI circuit designs. In this paper, we proposed a SEU Isolating DICE latch (Iso-DICE) design by combing the new proposed soft-error isolating technique and the inter-latching technique used in the DICE (Calin et al., 1996 [1]) design. To further enhance SEU-tolerance of DICE design, we keep the storage node pairs having the ability to recover the SEU fault occurring in each other pair but also avoid the storage node to be affected by each other. To mitigate the interference effect between dual storage node pairs, we use the isolation mechanism to resist high energy particle strikes instead of the original interlocking design method. Through isolating the output nodes and the internal circuit nodes, the Iso-DICE latch can possess more superior SEU-tolerance as compared with the DICE design (Calin et al., 1996 [1]). As compared with the FERST design (Fazeli, 2009 [2]) which performs with the same superior SEU-tolerance, the proposed Iso-DICE latch consumes 50% less power with only 45% of power delay product in TSMC 90 nm CMOS technology. Under 22 nm PTM model, the proposed Iso-DICE latch can also perform with 11% power delay product saving as compared with the FERST design (Fazeli, 2009 [2]) that performs with the same superior SEU-tolerance.

Introduction

With the progress of semiconductor process, digital circuits are becoming more susceptible to noise due to reduced working supply voltage and increased transistor density. In the advanced VLSI environment, the circuits are more easily affected by alpha particles, cosmic rays, and heat particles to cause errors, which are all summarized as soft errors [3], [4], [5], [6], [7], [8]. The advancement in nano-scale CMOS technology allows increase in circuit density and improvement in performance while reducing cost. However, the enhancements in reducing transistor size and supply voltage cause decrease in the parasitic capacitance of the circuit internal node which results in the reduction of the critical charge (critical charge is the minimum charge required for maintaining the correct logic state). Consequently, the reliability of circuits against soft errors lowers and low-energy alpha particles or cosmic rays can easily cause interference in circuit internal nodes, which results in instantaneous voltage transient error [3], [4], [5], [6], [7], [8].

Soft errors can be categorized into two classes according to the different locations of occurrence: (1) single event transients (SETs) which occur in combinational circuits, and (2) single event upsets (SEUs) which occur in storage elements, latches, or register nodes when the logic state of circuits changes undesirably. Due to the WOV (Windows of Vulnerability) of sequential circuits is longer than combinational circuits, sequential circuits are usually more susceptible to particle strikes than combinational circuits [9], [10]. As illustrated in Fig. 1, the SEU dominates around 90% of soft-error occurrence in modern VLSI circuits [10]. Moreover, the WOV of latch circuits is much longer than that in the flip-flop circuits. Therefore, most recent researches focus on devising robust schemes for latches. In this paper, we will further present a robust latch design that not only performs with superior soft-error resistant capability but also with lower power delay product (PDP).

In the existing literature designs, a variety of methods have been used to increase the SEU tolerance capability of latch circuits, such as: (1) interlock circuits with a redundant feedback path, such as Dual Interlocked Storage Cell (DICE) [1]; (2) strengthening equivalent capacitance for those internal nodes which have low critical charge, such as Schmitt Trigger latch (ST) [11]; (3) increasing the number of nodes to have the same electrical potential, such as SEU-A design [12]; (4) latches capable of filtering and masking SEUs, such as feedback redundant SEU-tolerant latch (FERST) [2]; and (5) constructing redundancy circuits together with a voting circuit to determine the valid output, such as Triple-Modular Redundancy (TMR) [13], [14], [15].

Among these SEU-tolerant approaches, DICE design can provide good SEU tolerance with less hardware cost and FERST design can provide even superior SEU-tolerance. TMR can also provide superior and nearly perfect SEU-tolerance; however, it is always criticized for its hardware complexity since it requires three times the circuit area. Therefore, in this paper we proposed a new SEU-tolerant latch that can provide superior SEU-tolerance as FERST latch but with much lower PDP.

Our proposed design is based on DICE architecture because of its advantages of simplicity. To further enhance the SEU-tolerance of DICE latch, we proposed an isolating technique which is capable of masking soft-error between DICE circuit’s internal and output nodes. The proposed Iso-DICE latch can have both the DICE latch’s capability of masking SEUs by cross-coupled inter-latching and the FERST latch circuit’s isolation concept of having more than two storage points. Therefore, the Iso-DICE latch can have a higher SEU tolerance with a smaller power-delay-product while efficiently preventing output nodes from being affected by the SEU in the internal nodes of latch circuit.

In order to evaluate the SEU tolerant capability of each latch, some simulation experiments are carried out by means of HSPICE with TSMC 90 nm CMOS technology model, 65 nm, 45 nm, 32 nm, and 22 nm predictive technology model [33]. To confirm the consistency of comparison results, we use a variety of benchmark circuits and simulate them for particle attacks with different striking energy for performance evaluation.

The remaining concept of the paper is organized as follows. Section 2 briefly introduces the previous designs. Section 3 presents the proposed SEU-tolerant latch, describes its circuit operation, and its SEU-isolation mechanism. Section 4 demonstrates the performance comparison results. Finally, a conclusion is made in Section 5.

Section snippets

Previous works

Fig. 2 shows a convectional latch with a feedback path to keep the stored logic value. The feed forward signal transmission path is constructed of one transmission gate and two inverters. The storage feedback path is constructed of one transmission gate and one inverter. When the clk signal is ‘1’, TG1 turns on and TG2 turns off. The input value is directly propagated from D to Q. When the clk signal is ‘0’, TG1 turns off and TG2 turns on. Thus, the storage logic state is kept unperturbed.

Improved SEU-tolerant latch circuit

DICE and FERST are two state-of-art literature designs. In this paper we propose a new SEU-tolerant latch design based on DICE design and further enhancing its SEU-tolerance. To further enhance the SEU-tolerance of DICE design, we must provide the storage node pairs with the ability to recover the SEU fault occurring in one another and also avoid the storage nodes to be affected by each other. To mitigate the interference effect between dual storage node pairs, we use the isolation mechanism to

Simulations and experimental results

In the paper, we analyze and evaluate the soft error tolerance of latches in three ways. First, we assess the ability of a latch to protect itself against the SEUs. We utilize a controllable current source to model a particle strike in the latch circuit [1], [11], [19], [20]. The SEU-tolerant ability of each node of latch circuit is evaluated by calculating the critical charge of each internal node. Monte Carlo simulation, invoking the law of large numbers, is then used to compare the SEU

Conclusion

In this paper, we proposed a robust SEU-tolerant latch design, which performs with lower PDP. Under TSMC 90 nm CMOS technology, experiment results show that both the Iso-DICE latch and the FERST latch have the capability of fully masking output faults caused by transient voltage in the internal nodes. However, the FERST latch consumes more energy and performs with larger PDP. Comparatively, the proposed Iso-DICE latch can perform with the same superior SEU-immunity against soft errors as FERST

Acknowledgment

This work was supported by National Science Council, R.O.C, under Grant No. NSC-99–2221-E-182-062-MY2 and NSC-101–2628-E-182-002-MY2. The authors would like to thank National Chip Implementation Center for technical support and supplying the EDA tools.

References (34)

  • T. Calin et al.

    Upset hardened memory design for submicron CMOS technology

    IEEE Transactions on Nuclear Science

    (1996)
  • M. Fazeli et al.

    Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies

    IET, Computers & Digital Techniques

    (2009)
  • N. Miskov-Zivanov, and D. Marculescu, A systematic approach to modeling and analysis of transient faults in logic...
  • S. Gangadhar, M. Skoufis, and S. Tragoudas, Propagation of transients along sensitizable paths, in: Proceedings of...
  • P. Shivakumar, M. Kistler, S.W. Keckler, D. Burger, and L. Alvisi, Modeling the effect of technology trends on the soft...
  • R.C. Baumann

    Radiation-induced soft-errors in advanced semiconductor technologies

    IEEE Transactions on Device and Materials Reliability

    (2005)
  • P. Hazucha et al.

    Impact of CMOS technology scaling on the atmospheric neutron soft error rate

    IEEE Transactions on Nuclear Science

    (2000)
  • E. Ibe et al.

    Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule

    IEEE Transactions on Electron Devices

    (2010)
  • M. Omana et al.

    Latch susceptibility to transient faults and new hardening approach

    IEEE Transactions on Computers

    (2007)
  • S. Mitra, R. Iyer, K. Ravishankar, K. Trivedi, and J.W. Tschanz, Reliable system design: models, metrics and design...
  • S. Lin, Y.B. Kim, and F. Lombardi, Soft-error hardening designs of nanoscale CMOS latches, in: IEEE VLSI Test...
  • L. Wang, S. Yue, and Y. Zhao, Low-overhead SEU-tolerant latches, in: Microwave and Millimeter Wave Technology,...
  • F.L. Kastensmidt, L. Sterpone, L. Carro and M.S. Reorda, On the optimal design of triple modular redundancy logic for...
  • M. Favalli et al.

    TMR voting in the presence of crosstalk faults at the voter inputs

    IEEE Transactions on Reliability

    (Sept. 2004)
  • L. Sterpone et al.

    Analysis of the robustness of the TMR architecture in SRAM-based FPGAs

    IEEE Transactions Nuclear Science

    (Oct. 2005)
  • P. Hazucha, T. Karnik, S. Walstra, B. Bloechell, J. Tschanzl, J. Maiz, K. Soumyanath, G. Demer, S. Narendra, V. De, S....
  • M. Omana et al.

    Latch susceptibility to transient faults and new hardening approach

    IEEE Transactions on Computer

    (2007)
  • Cited by (24)

    • A high-speed and triple-node-upset recovery latch with heterogeneous interconnection

      2021, Microelectronics Journal
      Citation Excerpt :

      At present, the mainstream research focuses on the Triple Node Upset (TNU). At present, radiation hardened by design (RHBD) is the most effective technique to solve the TNU [8,9]. RHBD technique is popular without the change the design flow.

    • LC-TSL: A low-cost triple-node-upset self-recovery latch design based on heterogeneous elements for 22 nm CMOS

      2021, Microelectronics Journal
      Citation Excerpt :

      Single event upset (SEU) is a soft error, which occurs in latches, flip flops and memory cells. Radiation hardening by design (RHBD) is an effective solution to mitigate the generation of SEU [9–11]. For SEU generated in memory cells, the low-cost error correction code is usually used to mitigate soft errors caused by particle striking [12,13]; for sequential logic circuits, latches are usually designed to tolerate SEU.

    • Design of MNU-Resilient latches based on input-split C-elements

      2021, Microelectronics Journal
      Citation Excerpt :

      The detailed research of [6] shows that with the progress of integrated circuit technology, the phenomenon of multiple-node charge collection in latches is becoming more and more severe. As a result, radiation hardening targeting only SNUs is no longer sufficient for aerospace applications that require high reliability [7–9]. Therefore, highly reliable integrated circuit designs that can mitigate the MNU problem are needed.

    • Design of node separated triple-node-upset self-recoverable latch

      2021, Microelectronics Journal
      Citation Excerpt :

      At present, radiation hardened by design is the dominant solution, which can be divided into two categories: (1) increase the critical charge of the node by raise the node capacitance and transistor width to improve the ability to tolerate SEU; (2) modify the structure of the latch to achieve full SEU tolerance. In these hardened latches, many designs are mainly aimed at SNU or DNU [12–25]. However, with nanotechnology, TNU often appears in devices with sophisticated functions and highly integrated.

    • High performance energy efficient radiation hardened latch for low voltage applications

      2019, Integration
      Citation Excerpt :

      Therefore, it is necessary to design SEU tolerant latches/flip-flops. To overcome these issues, techniques for SEU tolerant latches are explored in Refs. [9–26]. The advantage of these techniques is increased immunity against soft errors in worst cases.

    • High robust and cost effective double node upset tolerant latch design for nanoscale CMOS technology

      2019, Microelectronics Reliability
      Citation Excerpt :

      When an energy particle impacts the sensitive node of semiconductor device, a number of electron-hole pairs are produced along the track. The electron-hole pairs diffuse and drift under the action of concentration gradient and electric field, and particle-induced charges are collected by the reverse-biased junction in the circuits [3,4]. Once the amount of accumulated charge is higher than the critical charge (Qcirt), the performance degradation or functional failure of the circuits will occur.

    View all citing articles on Scopus
    View full text