Reliability optimization of analog integrated circuits considering the trade-off between lifetime and area

https://doi.org/10.1016/j.microrel.2011.09.026Get rights and content

Abstract

The reliability of analog integrated circuits becomes a major concern for the semiconductor industry as technology continuously scales. Among the many contributing factors, manufacturing process induced parameter variations and lifetime operational-condition-dependent transistor aging are two major hurdles limiting the reliability of analog circuits. Process variations mainly influence the parametric yield value of the fresh circuits, while transistor aging due to physical effects, such as Negative Bias Temperature Instability (NBTI) and Hot Carrier Injection (HCI), will cause another yield loss during circuit lifetime. In the past decades, the two issues were mainly studied separately by various communities, but analog designers nowadays need an accurate yet efficient method to analyze and optimize their circuits during the design phase, to ensure a more robust design tolerant of such joint effects.

This paper proposes an efficient method for sizing of analog circuits for reliability. It is based on the analysis and optimization of the fresh worst-case distance value for each circuit performance, which can be used to characterize the robustness of circuits considering process variations and aging effects in terms of x-sigma. The fresh and aged sizing rules as well as the maximum area constraints are checked during the optimization. The trade-off between the circuit lifetime and the price we pay in terms of layout area is studied in detail. According to the result of this trade-off analysis, a longer circuit lifetime requires more total area to be spent in layout, and designers can ensure the circuit robustness with certain layout area consumption.

Introduction

The continuous scaling of semiconductor technology into nanometer scale contributes to higher chip densities, improved circuit performances, lower cost per transistor, as well as several challenges and side effects, which will limit the product yield value after manufacturing and in circuit lifetime. Among those hazards, influential problems arise from manufacturing process variations and transistor degradation-related lifetime circuit reliability. These have been the major concern for both circuit design and chip manufacturing communities for decades, since these will result in parametric yield loss, early wear-out, and extra redesign costs [1].

Most of the past works quantify the influences of process variations and lifetime degradations separately. The analysis and optimization of analog circuits considering process variations alone have been in research for decades, and certain design centering algorithms and commercial software are available to achieve a design for yield (more specifically, fresh yield) [2], [3]. On the other hand, the modeling of device parameter degradations such as NBTI and HCI has been so far focusing mainly on the nominal values without considering the underlying variations during manufacture process [4], [5]. Solutions towards transistor aging effects alone include initial over-design of gate size [6], adding additional monitor circuitry [7], adaptive body biasing scheme [8], etc.

The reliability problem gets even worse if the joint effects of both process variations and transistor aging are considered, since they co-exist in reality both spatially across wafers and temporally over operational time. An example is illustrated in Fig. 1, where 300 Monte-Carlo simulations are run on a fresh and 5-year-old Miller amplifier with a current industrial technology. Values of Gain-Bandwidth Product (GBW) and DC Gain are shown, both moving towards negative directions. Such shifts of performance distribution result from drifts of transistor parameters, such as vth, due to NBTI and HCI. Certain samples of the circuits fall out of the possible performance specifications during operational time, resulting in an early wear-out, or in other words, a shorter lifetime than expected.

It is only since recent years that the joint effects of process variations and parameter aging are considered. Authors in [9] propose an aging-aware statistical timing analysis framework for digital circuits and perform a gate sizing algorithm based on the criticality of the gate during aging. The influence of variations of NBTI itself is further studied in [10]. For analog circuits, authors in [11] present a simulation framework considering the joint effects based on a response surface model of the circuit behavior. While the framework can identify those critical parameters on the circuit reliability, a quantified solution is not available which is needed for analog circuit sizing. Authors in [12] propose a two-step optimization flow to analyze and optimize the aged yield value of the analog circuits. While the idea of [12] is simple, it is very time-consuming since another step of aged yield optimization is needed on top of the traditional fresh yield optimization process.

This paper proposes an efficient method for sizing of analog circuits for reliability. It is based on the analysis and optimization of the fresh worst-case distance value for each circuit performance, which can be used to characterize the robustness of circuits considering process variations and aging effects in terms of x-sigma. The trade-off between the circuit lifetime and the price we pay in terms of layout area is studied in detail. According to the result of this trade-off analysis, a longer circuit lifetime requires more total area to be spent in layout, and designers can ensure the circuit robustness with certain layout area consumption.

Section snippets

Process variations and transistor degradation

The variations induced during the manufacturing process can be both systematic and random [2]. Systematic variations, or intra-die variations, refer to those variations occurring repeatedly over many chips or wafers, i.e., at system level. Examples of systematic variations can be wafer-level variations due to layout-induced strain, optical-proximity correction [13], the rapid ramp-rate of the lamp thermal annealing process [14], etc. Random variations or inter-die variations, on the other hand,

Fresh yield and aged yield

The parametric yield value of the fresh circuits is called fresh yield [12]. It refers to the percentage of products after manufacturing which can satisfy all of the pre-defined performance specifications. If we define the transistor parameters that have a statistical distribution during the manufacturing process as statistical parameters sRns, where ns is the number of statistical parameters, then there exists an acceptance region As in the statistical parameter space, which refers to the

Fresh and aged worst-case distance

Since the calculation of the integration in (5) or (7) is numerically difficult, the worst-case distance of a circuit performance has been proposed to model the circuit robustness and the resulting yield value, considering the manufacturing process variations and various operating conditions. It was first proposed by Antreich et al [3]. The basic idea of the worst-case distance is summarized in Fig. 3 and briefly explained as follows.

One performance upper bound fi,U is considered for the ith

Reliability optimization flow

As parameters degrade over time, the aged worst-case distance values decrease, resulting in an increasing yield loss over the years of lifetime. In the proposed yield analysis and optimization flow, we analyze and optimize the fresh circuit for x-sigma robustness, checking both fresh and aged sizing rules, as well as the maximum layout area constraint. For those degradation-sensitive performances, the corresponding worst-case distances will be increased for the fresh circuit, ensuring a more

Experimental results

The proposed method is illustrated on a two-stage Miller operational amplifier, shown in Fig. 6, using a 180 nm industrial technology, where the information concerning process variations (such as nominal values and standard deviations) and transistor aging are defined within the transistor model cards. There are 13 parameters with global variations for all of the transistors, as well as 2 parameters with local variations for each transistor. Among them, parameters such as threshold voltages, and

Conclusions

Process variations and parameter lifetime degradations are becoming more serious as technology continuously scales, which makes design-for-reliability a more difficult task. This paper proposes a method for analog circuit optimization considering such joint effects based on worst-case distances with consideration of maximum area constraints and fresh and aged sizing rules. The trade-off between design robustness and area overhead is analyzed in detail, and is available for designers to choose

Acknowledgements

The authors gratefully acknowledge the support of the TUM Graduate School’s Faculty Graduate Center EI at the Technische Universitaet Muenchen.

References (19)

  • Alam M, Kang K, Paul BC, Roy K. Reliability-and process-variation aware design of VLSI circuits. In: Proceedings of the...
  • Nassif SR. Process variability at the 65nm node and beyond. In: Proceedings of the IEEE custom integrated circuits...
  • K. Antreich et al.

    Circuit analysis and optimization driven by worst-case distances

    IEEE Trans Comput Aided Des Integr Circuits Syst

    (1994)
  • N.K. Jha et al.

    NBTI degradation and its impact for analog circuit reliability

    IEEE Trans Electron Devices

    (2005)
  • J. Martin-Martinez et al.

    Time-dependent variability related to BTI effects in MOSFETs: impact on CMOS differential amplifiers

    IEEE Trans Device Mater Reliab

    (2009)
  • Kang K, Kufluoglu H, Alam MA, Roy K. Efficient transistor-level sizing technique under temporal performance degradation...
  • Dierickx B. Scaling below 90nm: Designing with unreliable components;...
  • Qi Z, Stan M. NBTI resilient circuits using adaptive body biasing. In: Proceedings of ACM great lakes symposium on VLSI...
  • Lu Y, Shang L, Zhou H, Zhu H, Yang F, Zeng X. Statistical reliability analysis under process variation and aging...
There are more references available in the full text version of this article.

Cited by (0)

View full text