Elsevier

Microelectronics Reliability

Volume 91, Part 2, December 2018, Pages 232-242
Microelectronics Reliability

Charge transport model to predict dielectric breakdown as a function of voltage, temperature, and thickness

https://doi.org/10.1016/j.microrel.2018.10.005Get rights and content

Abstract

As the minimum pitch in interconnects continues to shrink, dielectric breakdown is becoming increasingly more difficult to qualify for each new technology node. Standard voltage-acceleration models provide quick, but general, assessments of the dielectric quality. Instead, a one-dimensional charge transport model has been developed as a tool to investigate the process of the dielectric breakdown and why it occurs. The model couples Poisson's equation with constitutive equations for mobile electrons, trapped electrons, and defects in the dielectric. Bonds in the dielectric matrix are weakened by the electric field, and broken by energetic electrons, creating defects. Failure occurs when a critical defect density is reached, causing trap-to-trap tunneling and an abrupt increase in the current.

The model successfully replicates electrical data for leakage current and dielectric failure as a function of voltage, temperature, and thickness. The activation energy for dielectric failure is shown to increase as the electric field decreases, resulting in much higher activation energies at operating conditions compared to testing conditions. The dielectric strength also increases for decreasing thickness based on a previous theory for planar dielectrics, and is shown to cause the failure vs. field slope to increase for thinner dielectrics.

Introduction

The never-ending pursuit to shrink integrated circuit nodes, in order to improve device performance and reduce die cost [1], is creating exciting possibilities for new types of advanced circuit applications. However, reliability has become a key impediment to continue to scale down device dimensions. Time-dependent dielectric breakdown (TDDB) represents one such example of the numerous types of circuit reliability that must be addressed and qualified for each new technology node.

TDDB has become an increasing reliability concern over the years due to several factors. The spacing between the interconnect wires at the most local levels is decreasing at a faster rate than the operating voltage, causing the insulating materials between the wiring to operate at increasingly higher electric field and temperature [2]. The introduction of copper wires and low-κ dielectric materials, in order to decrease power consumption and RC time-delay [[3], [4], [5]], yielded insulators with a lower dielectric strength than SiO2 [3] and more susceptible to extrinsic types of failure, such as copper ions [6,7] and moisture absorption [8,9]. Finally, controlling the spacing of the dielectric has become critical as issues such as line overlay, via mis-alignment, and line-edge-roughness can each negatively affect the minimum spacing between wires and create enhanced electric fields which accelerate breakdown [[10], [11], [12]]. As a result, dielectric failure is now dominated by the insulator spacing for each chip [13,14].

Standard TDDB tests are conducted at high voltage and at elevated temperature, and empirical models are used to extrapolate the results to operating conditions, in order to assess if a product meets the reliability lifetime and fail rate requirements (e.g. 10 years and <10 ppm). There are several voltage-acceleration models that have been proposed and/or used over the years, including the E-model [[15], [16], [17], [18]], 1 / E model [19,20], power law (PL) model [21], E1/2 model [22,23], and lucky electron (LE) model [[24], [25], [26]], where E represents the electric field and is interchangeable with voltage. These empirical models each justify the voltage dependence on the failure time with a different physical mechanism for dielectric breakdown. However, the fitting parameters associated with each model are at best, only loosely tied to the physical mechanism, materials, and process used to generate the data. Even worse, each model provides a drastically different lifetime prediction at operating voltage, and there is still dispute amongst reliability engineers over which model is most accurate. For temperature dependence, an Arrhenius relationship is used to predict failure when the operating temperature differs from the test temperature. Typically, a constant activation energy is used. However, studies over the past 30 years have consistently shown that the activation energy increases as the test voltage decreases [27]–[30]. As a result, fail rates from dielectric breakdown are over-estimated for chips that operate at lower temperatures than testing conditions.

The lack of a consensus voltage-acceleration model and the use of a conservative temperature-acceleration model impose a critical need for a more comprehensive TDDB model. Previously, a phenomenological, charge transport model was developed to predict intrinsic dielectric failure as a function of voltage for low-κ SiCOH [31] and high-κ SiN [32], two materials commonly used in integrated circuits. The charge transport model incorporates a set of fundamental mechanisms, including electronic conduction and defect generation, resulting in breakdown when a critical defect density is reached [31]. However, the model had several limitations. First, it over-predicted the temperature dependence for dielectric failure by approximately 1 eV (based on an Arrhenius equation fit to simulations at various temperatures). This was traced back to the electron temperature in the original equations, which was improperly defined to decrease as the defect density increased. Second, the model did not exhibit any thickness dependence for failure as related to the electric field. The dielectric strength of SiCOH films has been found to increase for decreasing thickness [33,34], and a theoretical explanation for this behavior was recently reported by McPherson to apply to all dielectric materials [35]. The previous model equations are refined in this article to improve the electron temperature definition and to better represent the increase in dielectric strength as a function of dielectric thickness. The article will present simulation results for low-κ SiCOH as a function of voltage, temperature and thickness, as compared to experimental data and will demonstrate improved agreement with the data.

Section snippets

Experimental procedure

Tests were conducted on wafers from GLOBALFOUNDRIES using their 14 nm technology. Via-chain and comb-comb structures were used, where the dielectric consisted of low-κ SiCOH with a dielectric constant κ = 2.7. Voltage ramp tests were conducted at 125 °C, and constant voltage stress (CVS) tests were conducted between 85 °C and 125 °C. Breakdown was defined as an abrupt increase in current. All I-V and time-to-failure (TTF) data shown in this paper represent the average data from the experiments,

Proposed model

The charge transport model is comprised of a set of nonlinear, time-dependent, partial differential equations, and is developed to predict the intrinsic (or electronic) failure of dielectric materials. The model's basic concepts are as follows: Electrons are injected into the dielectric over an energy barrier, and their conduction is dictated by the existing defects in the dielectric. The conducting electrons gain energy from the electric field and lose energy to collisions with the dielectric

Results and discussion

The model relies on three parameters (Aeff, ϕB, and η) to generate the electrical traces for comparison to the experimental data. These parameters, like all of the parameters incorporated into the model, are based on the properties of the intrinsic dielectric material and how that material relates to the breakdown process. The details of the parameter estimation have been previously discussed [31,32]. Aeff and ϕB are determined based on the relationship between current and voltage, either an I-V

Conclusion

The charge transport model was employed to predict leakage current and dielectric failure trends for low-κ SiCOH. The model shows a very clear correlation between the leakage current and dielectric failure. A field-dependent activation energy can be attributed to the energy barrier for electron injection into the dielectric, which is the boundary condition dictating the electrons' conduction. Dielectric failure activation energies up to 1 eV are predicted by the model at operating conditions of

Acknowledgments

The authors would like to acknowledge the Semiconductor Research Corporation and the New York State Foundation for Science, Technology and Innovation (NYSTAR) through Focus Center-New York C150117 for funding this research. The RPI authors would like to thank GLOBALFOUNDRIES for the data they provided.

References (61)

  • R.S. Achanta et al.

    A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions

    Appl. Phys. Lett.

    (Dec. 2007)
  • J. Borja et al.

    On the dynamics of Cu ions injection into low-k nanoporous materials under oscillating applied fields

    J. Appl. Phys.

    (Jan. 2013)
  • J. Michelon et al.

    Moisture influence on porous low-k reliability

    IEEE Trans. Device Mater. Reliab.

    (Jun. 2006)
  • Y. Li

    Influence of absorbed water components on SiOCH low-k reliability

    J. Appl. Phys.

    (Aug. 2008)
  • M. Stucchi et al.

    A comprehensive LER-aware TDDB lifetime model for advanced Cu interconnects

    IEEE Trans. Device Mater. Reliab.

    (Jun. 2011)
  • K. Croes et al.

    Effect of line-overlay and via-misalignment on dielectric reliability for different patterning schemes

  • F. Chen

    New electrical testing structures and analysis method for MOL and BEOL process diagnostics and TDDB reliability assessment

  • F. Xia

    Characterization and challenge of TDDB reliability in Cu/low K dielectric interconnect

  • K.B. Yeap et al.

    A realistic method for time-dependent dielectric breakdown reliability analysis for advanced technology node

    IEEE Trans. Electron Devices

    (2016)
  • D.L. Crook

    Method of determining reliability screens for time dependent dielectric breakdown

  • E.S. Anolick et al.

    Low field time dependent dielectric integrity

  • A. Berman

    Time-zero dielectric reliability test by a ramp method

  • J.W. McPherson et al.

    Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in SiO2 thin films

    J. Appl. Phys.

    (Aug. 1998)
  • I.C. Chen et al.

    A quantitative physical model for time-dependent breakdown in SiO2

  • K.F. Schuegraf et al.

    Hole injection oxide breakdown model for very low voltage lifetime extrapolation

  • J. Sune et al.

    A new quantitative hydrogen-based model for ultra-thin oxide breakdown

  • N. Suzumura

    A new TDDB degradation model based on Cu ion drift in Cu interconnect dielectrics

  • F. Chen

    A comprehensive study of low-k SiCOH TDDB phenomena and its reliability lifetime model development

  • J.R. Lloyd et al.

    Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics

    J. Appl. Phys.

    (Oct. 2005)
  • J.R. Lloyd

    On the physical interpretation of the impact damage model in TDDB of low-k dielectrics

  • Cited by (3)

    • A transport model describing how defect accumulation leads to intrinsic dielectric breakdown and post-breakdown conduction

      2022, Microelectronics Reliability
      Citation Excerpt :

      This size is close to the distance between two silicon atoms in a silicon dangling bond center, according to DFT calculations [35–37]. The silicon dangling bond is most likely the generated defect type, which agrees with earlier reports [19,21]. It is obvious that the defect generation rate decreases with an increase in the local defect density,Ctrap.

    • The Development of the Charge Transport Model to Predict Dielectric Failure

      2019, 2018 IEEE Nanotechnology Symposium, ANTS 2018
    View full text