Elsevier

Integration

Volume 57, March 2017, Pages 169-183
Integration

Full-VDD and near-threshold performance of 8T FinFET SRAM cells

https://doi.org/10.1016/j.vlsi.2016.12.003Get rights and content

Highlights

  • Full-VDD and near-threshold operation of 8 T FinFET SRAM cell schemes are evaluated.

  • Near-threshold operation is more attainable with 8 T rather than 6 T FinFET SRAMs.

  • Reverse-biased inverter back gates reduce both leakage and power and increase SNM.

  • Near-threshold 8 T FinFET cells can greatly reduce leakage and energy-delay product.

Abstract

We evaluate full-VDD and near-threshold operation of nine novel eight-transistor (8T) FinFET SRAM cell schemes using shorted gate (SG) and low power FinFET configurations for 32-bit by 1024-word SRAMs. 8T SRAM schemes outperform six-transistor schemes since SG-configured read FinFETs minimize delay and reverse-biased inverter FinFETs’ back gates reduce leakage current by up to 97%. At near-threshold, 8T FinFET cell delay increases by 56%, but leakage current and energy-delay product (EDP) decrease by up to 16% and 77%, respectively. 8T Low-Power Inverters scheme uses these configurations and reduces EDP by 60% (79% at near-threshold) versus the conventional SG 8T FinFET SRAM.

Introduction

For the past five decades CMOS scaling has offered improved performance from one technology node to the next. However, future bulk-CMOS scaling faces considerable challenges due to material and process technology limits [1]. Obstacles to the increased scaling of bulk CMOS include short-channel effects, sub-threshold leakage, gate-dielectric leakage, and device-to-device variations [1]. Currently two of the main challenges are the considerable increase of standby power dissipation and the increasing variability in device characteristics which in turn affects circuit and system reliability. The aforementioned challenges will become more prominent as CMOS scaling approaches atomic and quantum-mechanical physics boundaries [2]. There are continuing efforts to extend silicon scaling through innovations in materials and device structure.

One of these innovations are FinFET transistors. Double-gate FinFETs are able to continue CMOS scaling by overcoming these scaling obstacles [3]. One of the most important features of FinFETs is that the front and back gates may be made independent and biased to control the current and the device threshold voltage [4]. This ability to control threshold voltage variations offers a means to manage the challenge of standby power dissipation. FinFET is considered a promising technology that can impact the immediate future due to its high-performance, low leakage power, reduced susceptibility to process variations, and ease of manufacture using current processes [1]. These features make FinFETs a strong candidate to bridge the technology gap between mainstream bulk CMOS and non-Silicon devices.

FinFETs can be a replacement for bulk-CMOS transistors in many different designs. Its low leakage power makes FinFETs a promising option for memories, which are widely used in most digital systems. Leakage power is very important in memories. Memory access requires only one or very few memory rows at a given time; the great majority of memory cells draw only leakage power. In microprocessors, the clock network power consumption due to memory devices (i.e., cache memory, register, and pipeline registers) accounts for 51% of the total power [5]. Applying FinFET technology to memories can save significant power. A drawback of FinFET technology is that it requires more area overhead than bulk-CMOS [6]; this is due to required spacing between fins as well as interconnect spacing if independent front and back gates are used. There has been research in which the area of proposed FinFET static random access memory (SRAM) cells is compared with bulk-CMOS SRAM cells [7]. Cache modeling tools, such as CACTI-FinFET [8] and FinCACTI [9], [10], have also been developed to predict power, delay, and area values for FinFET-based SRAM cache memories. While higher area overhead can be a disadvantage for memory types that boast high density, such as dynamic random access memory (DRAM), the low leakage current and high speed offered by FinFETs are a great advantage for SRAMs, which are often used in digital systems due to their higher read and write speeds.

In this paper we focus on using FinFET technology to improve SRAM speed, operating energy, and leakage current. Table 1 [6], [11], [12] compares the leakage and on-current, or drive current, of FinFET and bulk-CMOS at two similar technology nodes, 25 nm and 10–14 nm, used by other researchers. The data from this table supports the claim that FinFETs manage leakage current better than bulk-CMOS transistors. Better suppression of leakage current lowers the power of SRAMs and also enables FinFET SRAMs to have larger read static noise margins than their bulk-CMOS counterparts [3]. However, FinFET SRAMs can exhibit data stability issues due to their quantized sizing [13]; this is because non-integer fin counts are not physically possible. In addition, the on-current of FinFETs is also larger than bulk-CMOS transistors; this results in FinFETs operating at higher speeds and lowers the delay of SRAM read and write operations. Other studies have also demonstrated that FinFET SRAMs have higher performance than bulk-CMOS SRAMs [14].

In this paper we examine the application of FinFET technology to eight-transistor SRAM cells. In Section 2 we discuss background information on SRAM subsystems and back-gate biasing strategies for FinFETs. 8T FinFET SRAM cell design options are presented in Section 3 and the simulation models and setup is presented in Section 4. In Section 5 we present the simulation performance results of 8T FinFET SRAM schemes for full-VDD operation. Section 6 presents near-threshold performance for the 8T FinFET SRAMs. In Section 7 we present the FinFET SRAM performance for alternate array configurations for both full-VDD and near-threshold operation. Performance under parameter, voltage, and temperature variations are presented in 8 Performance under parameter variations, 9 Performance under voltage variations, 10 Performance under temperature variations, respectively, for both full-VDD and near-threshold operation. Lastly, we provide a few concluding remarks in Section 11.

Section snippets

Background information on prior research

Background information is presented on a typical SRAM subsystem's makeup including prior research on low-power, high-performance address decoders and novel research on the back-gate biasing of FinFETs for low power and high speed.

8T FinFET SRAM cell design options

An eight-transistor (8T) memory cell is shown in Fig. 1. This 8T SRAM cell has a similar structure as a six-transistor (6T) cell (T1–T6) with two additional transistors (T7 and T8) that decouple read and write operations. The standard 6T cell requires that a logic value and its inverse be placed on the bit lines during a write operation. The word line is raised to logic 1 and the logic levels on the bit lines are passed into the cross-coupled inverter pair. Reading from the memory cell entails

Simulation models and setup

For this study, University of Florida's Spice3-UFDG (Linux version 3.71) was used to model n- and p-type silicon-on-insulator (SOI) FinFETs. Spice3-UFDG is a physics-based model calibrated to follow predicted results from Synopsys MEDICI and measured results from symmetrical double-gate FinFETs fabricated at Motorola [22], [23]. Table 2 shows the values of FinFET parameters used in this research.

Nine 8T FinFET SRAM schemes (with back-gate bias and read-line swing variants) have been simulated.

Full-VDD 8T FinFET SRAM performance

Table 3 presents the configurations of the SRAM schemes and summarizes their performance results for a 32×1024 array. The lowest values for each performance metric are bolded in this table. Most 8T SRAM cells outperform the 6T SG and LP SRAM cells. The 6T SG scheme has 45% less average EDP (from 120 to 66 ps×fJ) as an 8T scheme and the 6T LP scheme has 29% less average EDP (from 103 to 73 ps×fJ) as an 8T scheme. The orthogonal read and write operations allow the FinFET 8T SRAM cells to be more

Near-threshold 8T FinFET SRAM performance

The following two subsections include a brief introduction to near-threshold operation and the performance results of the FinFET SRAM cells.

Performance of alternate SRAM array configurations

An array configuration of 32 bits × 1024 words is primarily considered for this research. Alternate array configurations influence the performance of an SRAM memory. Using a wide-bit configuration of 64-bit, 128-bit, or 256-bit words will allow more information to be read or written during a single memory operation. However, using more bits per word will place more memory cells on each word line; this will increase dynamic energy consumption when the memory is actively reading or writing. An

Performance under parameter variations

Parameter variations are simulated using a quasi-Monte Carlo (QMC) analysis [41]. We use QMC samples for Monte Carlo simulation to achieve a good spread of data points in fewer simulations than with completely random samples. We used QMC and created sets of zero-mean and unit-standard deviation Sobol points to allow for completely independent assignment of varied values for all varied device parameters. These points were weighted by each parameter's mean and standard deviation: X = µ + x * σ.

Performance under voltage variations

The performance of the five selected schemes are examined under the effects of voltage variations for both full-VDD operation at 1 V and near-threshold operation at 0.6 V. First, the results of supply voltage variations are examined, then an analysis of bias voltage variations are presented.

Performance under temperature variations

The performance of the five selected schemes are examined under the effects of temperature variations for both full-VDD operation at 1 V and near-threshold operation at 0.6 V. Table 10, Table 11 show the results of temperature variation simulations for ambient temperatures of 0, 27, 50, 75, and 100 °C. The results of the read delay, average energy, and average EDP for a 32×1024 array are provided in Table 10. Table 11 displays the leakage current and noise margin results; this data is organized by

Concluding remarks

We have presented nine novel 8T FinFET SRAM cell schemes and numerous configurations of these schemes. In this paper we show how configuration decisions affect full-VDD and near-threshold performance. Some of most important results of this study include:

  • The 8T FinFET schemes outperform the 6T FinFET cells. All but two 8T SRAM cells have lower EDP than the 6 T SG and LP cells for full-VDD operation. The 8T SG cell with normal (0 V to 1 V) read-line swing has 35% and 25% less EDP than the 6T SG

References (41)

  • C.-Y. Lee et al.

    CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations

    Proc. Des. Autom. Conf.

    (2011)
  • A. Shafaei, et al., FinCACTI: Architectural analysis and modeling of caches with deeply-scaled FinFET devices,...
  • A. Shafaei, et al., PCACTI beta, 〈http://sportlab.usc.edu/download/pcacti/〉 (last accessed...
  • B. Yu, et al., FinFET scaling to 10nm gate length, Proceedings of the International Electron Devices Meeting, 2002,...
  • B. Doris, et al., Extreme scaling with ultra-thin Si channel MOSFETs, Proceedings of the International Electron Devices...
  • D. Burnett, et al., FinFET SRAM design challenges, Proceedings of the IEEE International Conference IC Design Tech.,...
  • H. Farkhani, et al., Comparative study of FinFETs versus 22nm bulk CMOS technologies: SRAM design perspective,...
  • S. A. Tawfik and V. Kursun, Portfolio of FinFET memories: Innovative techniques for an emerging technology, Proceedings...
  • B.S. Amrutur et al.

    Fast low-power decoders for RAMs

    IEEE J. Solid-State Circuits,

    (2001)
  • M.A. Turi, J.G. Delgado-Frias, and N.K. Jha, Low-power FinFET design schemes for NOR address decoders, Proceedings of...
  • Cited by (18)

    • An efficient low power method for FinFET domino OR logic circuit

      2022, Microprocessors and Microsystems
      Citation Excerpt :

      Aggressive scaling of the MOSFET leads to SCE, which increases leakage current and starts to affect the circuit performance. Therefore, researchers innovate a new device known as FinFET to minimize the leakage power dissipation in ultra-DSM regime [5]. FinFET is a three-dimensional (3D) multi-gate (MG) transistor, which has better controllability, isolation, less fluctuations, higher switching speed, less wafer area, improved electrostatic control, better carrier mobility, and better trans-conductance as compared to MOSFET device.

    • Expanded Noise Margin 10T SRAM Cell using Finfet Device

      2023, International Journal on Recent and Innovation Trends in Computing and Communication
    • A Junctionless Tri-Gate SOI FinFET 8T-SRAM Cell with improved Noise Margin

      2023, Conference Proceedings - 2023 IEEE Silchar Subsection Conference, SILCON 2023
    View all citing articles on Scopus
    View full text